//`timescale 100ns/10ns

module test();

parameter SIZE=12;

  reg clk;
  reg GO;
  reg signed [SIZE-1:0] storage [0:783]; 
  
  reg we_database;
  reg [SIZE-1:0] dp_database;
  reg [12:0] address_p_database;
  
  reg [9:0] x;
  wire STOP;
  wire [3:0] RESULT;
//  TOP TOP(
//	.clk					(clk),
//	.GO						(GO),
//	.RESULT					(RESULT),
//	.we_database			(we_database), 
//	.dp_database			(dp_database), 
//	.address_p_database		(address_p_database-1'b1),
//	.STOP					(STOP)
//  );
  
  CNN_Numeral_Recognition_0 TOP_ip(
	.clk					(clk),
	.GO						(GO),
	.RESULT					(RESULT),
	.we_database			(we_database), 
	.dp_database			(dp_database), 
	.address_p_database		(address_p_database-1'b1),
	.STOP					(STOP)
  );
initial begin
  clk=0;
  address_p_database=0;
  x=0;
  we_database=1;
  #200 GO=1;
end
always #10 clk=~clk;
always @(posedge clk)
	begin
		if (we_database)
		begin
			if (address_p_database<=783) 
				begin
						dp_database = storage[address_p_database];
						address_p_database=address_p_database+1'b1;
				end
			else we_database=0;
		end
		if ((x<=28*28)&&(GO)) x=x+1;
		else GO=0;
	if (STOP==1)
	begin
		$display("RESULT: %d",RESULT);
		$finish;
	end
 end
/******************
// Precision: 12
// Image size: 28x28
// Answer: 1
******************/
//initial
//begin
//	storage[0] =  11'b11111111000; // [0.99609375]
//	storage[1] =  11'b11111111000; // [0.99609375]
//	storage[2] =  11'b11111111000; // [0.99609375]
//	storage[3] =  11'b11111111000; // [0.99609375]
//	storage[4] =  11'b11111111000; // [0.99609375]
//	storage[5] =  11'b11111111000; // [0.99609375]
//	storage[6] =  11'b11111111000; // [0.99609375]
//	storage[7] =  11'b11111111000; // [0.99609375]
//	storage[8] =  11'b11111111000; // [0.99609375]
//	storage[9] =  11'b11111111000; // [0.99609375]
//	storage[10] =  11'b11111111000; // [0.99609375]
//	storage[11] =  11'b11111111000; // [0.99609375]
//	storage[12] =  11'b11111111000; // [0.99609375]
//	storage[13] =  11'b11111111000; // [0.99609375]
//	storage[14] =  11'b11111111000; // [0.99609375]
//	storage[15] =  11'b11111111000; // [0.99609375]
//	storage[16] =  11'b11111111000; // [0.99609375]
//	storage[17] =  11'b11111111000; // [0.99609375]
//	storage[18] =  11'b11111111000; // [0.99609375]
//	storage[19] =  11'b11111111000; // [0.99609375]
//	storage[20] =  11'b11111111000; // [0.99609375]
//	storage[21] =  11'b11111111000; // [0.99609375]
//	storage[22] =  11'b11111111000; // [0.99609375]
//	storage[23] =  11'b11111111000; // [0.99609375]
//	storage[24] =  11'b11111111000; // [0.99609375]
//	storage[25] =  11'b11111111000; // [0.99609375]
//	storage[26] =  11'b11111111000; // [0.99609375]
//	storage[27] =  11'b11111111000; // [0.99609375]
//	storage[28] =  11'b11111111000; // [0.99609375]
//	storage[29] =  11'b11111111000; // [0.99609375]
//	storage[30] =  11'b11111111000; // [0.99609375]
//	storage[31] =  11'b11111111000; // [0.99609375]
//	storage[32] =  11'b11111111000; // [0.99609375]
//	storage[33] =  11'b11111111000; // [0.99609375]
//	storage[34] =  11'b11111111000; // [0.99609375]
//	storage[35] =  11'b11111111000; // [0.99609375]
//	storage[36] =  11'b11111111000; // [0.99609375]
//	storage[37] =  11'b11111111000; // [0.99609375]
//	storage[38] =  11'b11111111000; // [0.99609375]
//	storage[39] =  11'b11111111000; // [0.99609375]
//	storage[40] =  11'b11111111000; // [0.99609375]
//	storage[41] =  11'b11111111000; // [0.99609375]
//	storage[42] =  11'b11111111000; // [0.99609375]
//	storage[43] =  11'b11111111000; // [0.99609375]
//	storage[44] =  11'b11111111000; // [0.99609375]
//	storage[45] =  11'b11111111000; // [0.99609375]
//	storage[46] =  11'b11111111000; // [0.99609375]
//	storage[47] =  11'b11111111000; // [0.99609375]
//	storage[48] =  11'b11111111000; // [0.99609375]
//	storage[49] =  11'b11111111000; // [0.99609375]
//	storage[50] =  11'b11111111000; // [0.99609375]
//	storage[51] =  11'b11111111000; // [0.99609375]
//	storage[52] =  11'b11111111000; // [0.99609375]
//	storage[53] =  11'b11111111000; // [0.99609375]
//	storage[54] =  11'b11111111000; // [0.99609375]
//	storage[55] =  11'b11111111000; // [0.99609375]
//	storage[56] =  11'b11111111000; // [0.99609375]
//	storage[57] =  11'b11111111000; // [0.99609375]
//	storage[58] =  11'b11111111000; // [0.99609375]
//	storage[59] =  11'b11111111000; // [0.99609375]
//	storage[60] =  11'b11111111000; // [0.99609375]
//	storage[61] =  11'b11111111000; // [0.99609375]
//	storage[62] =  11'b11111111000; // [0.99609375]
//	storage[63] =  11'b11111111000; // [0.99609375]
//	storage[64] =  11'b11111111000; // [0.99609375]
//	storage[65] =  11'b11111111000; // [0.99609375]
//	storage[66] =  11'b11111111000; // [0.99609375]
//	storage[67] =  11'b11111111000; // [0.99609375]
//	storage[68] =  11'b11111111000; // [0.99609375]
//	storage[69] =  11'b11111111000; // [0.99609375]
//	storage[70] =  11'b11111111000; // [0.99609375]
//	storage[71] =  11'b11111111000; // [0.99609375]
//	storage[72] =  11'b11111111000; // [0.99609375]
//	storage[73] =  11'b11111111000; // [0.99609375]
//	storage[74] =  11'b11111111000; // [0.99609375]
//	storage[75] =  11'b11111111000; // [0.99609375]
//	storage[76] =  11'b11111111000; // [0.99609375]
//	storage[77] =  11'b11111111000; // [0.99609375]
//	storage[78] =  11'b11111111000; // [0.99609375]
//	storage[79] =  11'b11111111000; // [0.99609375]
//	storage[80] =  11'b11111111000; // [0.99609375]
//	storage[81] =  11'b11111111000; // [0.99609375]
//	storage[82] =  11'b11111111000; // [0.99609375]
//	storage[83] =  11'b11111111000; // [0.99609375]
//	storage[84] =  11'b11111111000; // [0.99609375]
//	storage[85] =  11'b11111111000; // [0.99609375]
//	storage[86] =  11'b11111111000; // [0.99609375]
//	storage[87] =  11'b11111111000; // [0.99609375]
//	storage[88] =  11'b11111111000; // [0.99609375]
//	storage[89] =  11'b11111111000; // [0.99609375]
//	storage[90] =  11'b11111111000; // [0.99609375]
//	storage[91] =  11'b11111111000; // [0.99609375]
//	storage[92] =  11'b11111111000; // [0.99609375]
//	storage[93] =  11'b11111111000; // [0.99609375]
//	storage[94] =  11'b11111111000; // [0.99609375]
//	storage[95] =  11'b11111111000; // [0.99609375]
//	storage[96] =  11'b11111111000; // [0.99609375]
//	storage[97] =  11'b11111111000; // [0.99609375]
//	storage[98] =  11'b11111111000; // [0.99609375]
//	storage[99] =  11'b11111111000; // [0.99609375]
//	storage[100] =  11'b11111111000; // [0.99609375]
//	storage[101] =  11'b11111111000; // [0.99609375]
//	storage[102] =  11'b11111111000; // [0.99609375]
//	storage[103] =  11'b11111111000; // [0.99609375]
//	storage[104] =  11'b11111111000; // [0.99609375]
//	storage[105] =  11'b11111111000; // [0.99609375]
//	storage[106] =  11'b11111111000; // [0.99609375]
//	storage[107] =  11'b11111111000; // [0.99609375]
//	storage[108] =  11'b11111111000; // [0.99609375]
//	storage[109] =  11'b11111111000; // [0.99609375]
//	storage[110] =  11'b11111111000; // [0.99609375]
//	storage[111] =  11'b11111111000; // [0.99609375]
//	storage[112] =  11'b11111111000; // [0.99609375]
//	storage[113] =  11'b11111111000; // [0.99609375]
//	storage[114] =  11'b11111111000; // [0.99609375]
//	storage[115] =  11'b11111111000; // [0.99609375]
//	storage[116] =  11'b11111111000; // [0.99609375]
//	storage[117] =  11'b11111111000; // [0.99609375]
//	storage[118] =  11'b11111111000; // [0.99609375]
//	storage[119] =  11'b11111111000; // [0.99609375]
//	storage[120] =  11'b11111111000; // [0.99609375]
//	storage[121] =  11'b11111111000; // [0.99609375]
//	storage[122] =  11'b11111111000; // [0.99609375]
//	storage[123] =  11'b11111111000; // [0.99609375]
//	storage[124] =  11'b11111111000; // [0.99609375]
//	storage[125] =  11'b10100001000; // [0.62890625]
//	storage[126] =  11'b11011110000; // [0.8671875]
//	storage[127] =  11'b11111111000; // [0.99609375]
//	storage[128] =  11'b11111111000; // [0.99609375]
//	storage[129] =  11'b11111111000; // [0.99609375]
//	storage[130] =  11'b11111111000; // [0.99609375]
//	storage[131] =  11'b11111111000; // [0.99609375]
//	storage[132] =  11'b11111111000; // [0.99609375]
//	storage[133] =  11'b11111111000; // [0.99609375]
//	storage[134] =  11'b11111111000; // [0.99609375]
//	storage[135] =  11'b11111111000; // [0.99609375]
//	storage[136] =  11'b11111111000; // [0.99609375]
//	storage[137] =  11'b11111111000; // [0.99609375]
//	storage[138] =  11'b11111111000; // [0.99609375]
//	storage[139] =  11'b11111111000; // [0.99609375]
//	storage[140] =  11'b11111111000; // [0.99609375]
//	storage[141] =  11'b11111111000; // [0.99609375]
//	storage[142] =  11'b11111111000; // [0.99609375]
//	storage[143] =  11'b11111111000; // [0.99609375]
//	storage[144] =  11'b11111111000; // [0.99609375]
//	storage[145] =  11'b11111111000; // [0.99609375]
//	storage[146] =  11'b11111111000; // [0.99609375]
//	storage[147] =  11'b11111111000; // [0.99609375]
//	storage[148] =  11'b11111111000; // [0.99609375]
//	storage[149] =  11'b11111111000; // [0.99609375]
//	storage[150] =  11'b11111111000; // [0.99609375]
//	storage[151] =  11'b11111111000; // [0.99609375]
//	storage[152] =  11'b11000011000; // [0.76171875]
//	storage[153] =  11'b00000000000; // [0.]
//	storage[154] =  11'b01100001000; // [0.37890625]
//	storage[155] =  11'b11111111000; // [0.99609375]
//	storage[156] =  11'b11111111000; // [0.99609375]
//	storage[157] =  11'b11111111000; // [0.99609375]
//	storage[158] =  11'b11111111000; // [0.99609375]
//	storage[159] =  11'b11111111000; // [0.99609375]
//	storage[160] =  11'b11111111000; // [0.99609375]
//	storage[161] =  11'b11111111000; // [0.99609375]
//	storage[162] =  11'b11111111000; // [0.99609375]
//	storage[163] =  11'b11111111000; // [0.99609375]
//	storage[164] =  11'b11111111000; // [0.99609375]
//	storage[165] =  11'b11111111000; // [0.99609375]
//	storage[166] =  11'b11111111000; // [0.99609375]
//	storage[167] =  11'b11111111000; // [0.99609375]
//	storage[168] =  11'b11111111000; // [0.99609375]
//	storage[169] =  11'b11111111000; // [0.99609375]
//	storage[170] =  11'b11111111000; // [0.99609375]
//	storage[171] =  11'b11111111000; // [0.99609375]
//	storage[172] =  11'b11111111000; // [0.99609375]
//	storage[173] =  11'b11111111000; // [0.99609375]
//	storage[174] =  11'b11111111000; // [0.99609375]
//	storage[175] =  11'b11111111000; // [0.99609375]
//	storage[176] =  11'b11111111000; // [0.99609375]
//	storage[177] =  11'b11111111000; // [0.99609375]
//	storage[178] =  11'b11111111000; // [0.99609375]
//	storage[179] =  11'b11111111000; // [0.99609375]
//	storage[180] =  11'b10100000000; // [0.625]
//	storage[181] =  11'b00000000000; // [0.]
//	storage[182] =  11'b01000000000; // [0.25]
//	storage[183] =  11'b11111111000; // [0.99609375]
//	storage[184] =  11'b11111111000; // [0.99609375]
//	storage[185] =  11'b11111111000; // [0.99609375]
//	storage[186] =  11'b11111111000; // [0.99609375]
//	storage[187] =  11'b11111111000; // [0.99609375]
//	storage[188] =  11'b11111111000; // [0.99609375]
//	storage[189] =  11'b11111111000; // [0.99609375]
//	storage[190] =  11'b11111111000; // [0.99609375]
//	storage[191] =  11'b11111111000; // [0.99609375]
//	storage[192] =  11'b11111111000; // [0.99609375]
//	storage[193] =  11'b11111111000; // [0.99609375]
//	storage[194] =  11'b11111111000; // [0.99609375]
//	storage[195] =  11'b11111111000; // [0.99609375]
//	storage[196] =  11'b11111111000; // [0.99609375]
//	storage[197] =  11'b11111111000; // [0.99609375]
//	storage[198] =  11'b11111111000; // [0.99609375]
//	storage[199] =  11'b11111111000; // [0.99609375]
//	storage[200] =  11'b11111111000; // [0.99609375]
//	storage[201] =  11'b11111111000; // [0.99609375]
//	storage[202] =  11'b11111111000; // [0.99609375]
//	storage[203] =  11'b11111111000; // [0.99609375]
//	storage[204] =  11'b11111111000; // [0.99609375]
//	storage[205] =  11'b11111111000; // [0.99609375]
//	storage[206] =  11'b11111111000; // [0.99609375]
//	storage[207] =  11'b11111111000; // [0.99609375]
//	storage[208] =  11'b10011001000; // [0.59765625]
//	storage[209] =  11'b00000000000; // [0.]
//	storage[210] =  11'b00110101000; // [0.20703125]
//	storage[211] =  11'b11111111000; // [0.99609375]
//	storage[212] =  11'b11111111000; // [0.99609375]
//	storage[213] =  11'b11111111000; // [0.99609375]
//	storage[214] =  11'b11111111000; // [0.99609375]
//	storage[215] =  11'b11111111000; // [0.99609375]
//	storage[216] =  11'b11111111000; // [0.99609375]
//	storage[217] =  11'b11111111000; // [0.99609375]
//	storage[218] =  11'b11111111000; // [0.99609375]
//	storage[219] =  11'b11111111000; // [0.99609375]
//	storage[220] =  11'b11111111000; // [0.99609375]
//	storage[221] =  11'b11111111000; // [0.99609375]
//	storage[222] =  11'b11111111000; // [0.99609375]
//	storage[223] =  11'b11111111000; // [0.99609375]
//	storage[224] =  11'b11111111000; // [0.99609375]
//	storage[225] =  11'b11111111000; // [0.99609375]
//	storage[226] =  11'b11111111000; // [0.99609375]
//	storage[227] =  11'b11111111000; // [0.99609375]
//	storage[228] =  11'b11111111000; // [0.99609375]
//	storage[229] =  11'b11111111000; // [0.99609375]
//	storage[230] =  11'b11111111000; // [0.99609375]
//	storage[231] =  11'b11111111000; // [0.99609375]
//	storage[232] =  11'b11111111000; // [0.99609375]
//	storage[233] =  11'b11111111000; // [0.99609375]
//	storage[234] =  11'b11111111000; // [0.99609375]
//	storage[235] =  11'b11111111000; // [0.99609375]
//	storage[236] =  11'b10001001000; // [0.53515625]
//	storage[237] =  11'b00000000000; // [0.]
//	storage[238] =  11'b00100111000; // [0.15234375]
//	storage[239] =  11'b11111111000; // [0.99609375]
//	storage[240] =  11'b11111111000; // [0.99609375]
//	storage[241] =  11'b11111111000; // [0.99609375]
//	storage[242] =  11'b11111111000; // [0.99609375]
//	storage[243] =  11'b11111111000; // [0.99609375]
//	storage[244] =  11'b11111111000; // [0.99609375]
//	storage[245] =  11'b11111111000; // [0.99609375]
//	storage[246] =  11'b11111111000; // [0.99609375]
//	storage[247] =  11'b11111111000; // [0.99609375]
//	storage[248] =  11'b11111111000; // [0.99609375]
//	storage[249] =  11'b11111111000; // [0.99609375]
//	storage[250] =  11'b11111111000; // [0.99609375]
//	storage[251] =  11'b11111111000; // [0.99609375]
//	storage[252] =  11'b11111111000; // [0.99609375]
//	storage[253] =  11'b11111111000; // [0.99609375]
//	storage[254] =  11'b11111111000; // [0.99609375]
//	storage[255] =  11'b11111111000; // [0.99609375]
//	storage[256] =  11'b11111111000; // [0.99609375]
//	storage[257] =  11'b11111111000; // [0.99609375]
//	storage[258] =  11'b11111111000; // [0.99609375]
//	storage[259] =  11'b11111111000; // [0.99609375]
//	storage[260] =  11'b11111111000; // [0.99609375]
//	storage[261] =  11'b11111111000; // [0.99609375]
//	storage[262] =  11'b11111111000; // [0.99609375]
//	storage[263] =  11'b11111111000; // [0.99609375]
//	storage[264] =  11'b10000101000; // [0.51953125]
//	storage[265] =  11'b00000000000; // [0.]
//	storage[266] =  11'b00100100000; // [0.140625]
//	storage[267] =  11'b11111111000; // [0.99609375]
//	storage[268] =  11'b11111111000; // [0.99609375]
//	storage[269] =  11'b11111111000; // [0.99609375]
//	storage[270] =  11'b11111111000; // [0.99609375]
//	storage[271] =  11'b11111111000; // [0.99609375]
//	storage[272] =  11'b11111111000; // [0.99609375]
//	storage[273] =  11'b11111111000; // [0.99609375]
//	storage[274] =  11'b11111111000; // [0.99609375]
//	storage[275] =  11'b11111111000; // [0.99609375]
//	storage[276] =  11'b11111111000; // [0.99609375]
//	storage[277] =  11'b11111111000; // [0.99609375]
//	storage[278] =  11'b11111111000; // [0.99609375]
//	storage[279] =  11'b11111111000; // [0.99609375]
//	storage[280] =  11'b11111111000; // [0.99609375]
//	storage[281] =  11'b11111111000; // [0.99609375]
//	storage[282] =  11'b11111111000; // [0.99609375]
//	storage[283] =  11'b11111111000; // [0.99609375]
//	storage[284] =  11'b11111111000; // [0.99609375]
//	storage[285] =  11'b11111111000; // [0.99609375]
//	storage[286] =  11'b11111111000; // [0.99609375]
//	storage[287] =  11'b11111111000; // [0.99609375]
//	storage[288] =  11'b11111111000; // [0.99609375]
//	storage[289] =  11'b11111111000; // [0.99609375]
//	storage[290] =  11'b11111111000; // [0.99609375]
//	storage[291] =  11'b11111111000; // [0.99609375]
//	storage[292] =  11'b10000100000; // [0.515625]
//	storage[293] =  11'b00000000000; // [0.]
//	storage[294] =  11'b00100010000; // [0.1328125]
//	storage[295] =  11'b11111111000; // [0.99609375]
//	storage[296] =  11'b11111111000; // [0.99609375]
//	storage[297] =  11'b11111111000; // [0.99609375]
//	storage[298] =  11'b11111111000; // [0.99609375]
//	storage[299] =  11'b11111111000; // [0.99609375]
//	storage[300] =  11'b11111111000; // [0.99609375]
//	storage[301] =  11'b11111111000; // [0.99609375]
//	storage[302] =  11'b11111111000; // [0.99609375]
//	storage[303] =  11'b11111111000; // [0.99609375]
//	storage[304] =  11'b11111111000; // [0.99609375]
//	storage[305] =  11'b11111111000; // [0.99609375]
//	storage[306] =  11'b11111111000; // [0.99609375]
//	storage[307] =  11'b11111111000; // [0.99609375]
//	storage[308] =  11'b11111111000; // [0.99609375]
//	storage[309] =  11'b11111111000; // [0.99609375]
//	storage[310] =  11'b11111111000; // [0.99609375]
//	storage[311] =  11'b11111111000; // [0.99609375]
//	storage[312] =  11'b11111111000; // [0.99609375]
//	storage[313] =  11'b11111111000; // [0.99609375]
//	storage[314] =  11'b11111111000; // [0.99609375]
//	storage[315] =  11'b11111111000; // [0.99609375]
//	storage[316] =  11'b11111111000; // [0.99609375]
//	storage[317] =  11'b11111111000; // [0.99609375]
//	storage[318] =  11'b11111111000; // [0.99609375]
//	storage[319] =  11'b11111111000; // [0.99609375]
//	storage[320] =  11'b10000010000; // [0.5078125]
//	storage[321] =  11'b00000000000; // [0.]
//	storage[322] =  11'b00100000000; // [0.125]
//	storage[323] =  11'b11111111000; // [0.99609375]
//	storage[324] =  11'b11111111000; // [0.99609375]
//	storage[325] =  11'b11111111000; // [0.99609375]
//	storage[326] =  11'b11111111000; // [0.99609375]
//	storage[327] =  11'b11111111000; // [0.99609375]
//	storage[328] =  11'b11111111000; // [0.99609375]
//	storage[329] =  11'b11111111000; // [0.99609375]
//	storage[330] =  11'b11111111000; // [0.99609375]
//	storage[331] =  11'b11111111000; // [0.99609375]
//	storage[332] =  11'b11111111000; // [0.99609375]
//	storage[333] =  11'b11111111000; // [0.99609375]
//	storage[334] =  11'b11111111000; // [0.99609375]
//	storage[335] =  11'b11111111000; // [0.99609375]
//	storage[336] =  11'b11111111000; // [0.99609375]
//	storage[337] =  11'b11111111000; // [0.99609375]
//	storage[338] =  11'b11111111000; // [0.99609375]
//	storage[339] =  11'b11111111000; // [0.99609375]
//	storage[340] =  11'b11111111000; // [0.99609375]
//	storage[341] =  11'b11111111000; // [0.99609375]
//	storage[342] =  11'b11111111000; // [0.99609375]
//	storage[343] =  11'b11111111000; // [0.99609375]
//	storage[344] =  11'b11111111000; // [0.99609375]
//	storage[345] =  11'b11111111000; // [0.99609375]
//	storage[346] =  11'b11111111000; // [0.99609375]
//	storage[347] =  11'b11111111000; // [0.99609375]
//	storage[348] =  11'b10000001000; // [0.50390625]
//	storage[349] =  11'b00000000000; // [0.]
//	storage[350] =  11'b00011111000; // [0.12109375]
//	storage[351] =  11'b11111111000; // [0.99609375]
//	storage[352] =  11'b11111111000; // [0.99609375]
//	storage[353] =  11'b11111111000; // [0.99609375]
//	storage[354] =  11'b11111111000; // [0.99609375]
//	storage[355] =  11'b11111111000; // [0.99609375]
//	storage[356] =  11'b11111111000; // [0.99609375]
//	storage[357] =  11'b11111111000; // [0.99609375]
//	storage[358] =  11'b11111111000; // [0.99609375]
//	storage[359] =  11'b11111111000; // [0.99609375]
//	storage[360] =  11'b11111111000; // [0.99609375]
//	storage[361] =  11'b11111111000; // [0.99609375]
//	storage[362] =  11'b11111111000; // [0.99609375]
//	storage[363] =  11'b11111111000; // [0.99609375]
//	storage[364] =  11'b11111111000; // [0.99609375]
//	storage[365] =  11'b11111111000; // [0.99609375]
//	storage[366] =  11'b11111111000; // [0.99609375]
//	storage[367] =  11'b11111111000; // [0.99609375]
//	storage[368] =  11'b11111111000; // [0.99609375]
//	storage[369] =  11'b11111111000; // [0.99609375]
//	storage[370] =  11'b11111111000; // [0.99609375]
//	storage[371] =  11'b11111111000; // [0.99609375]
//	storage[372] =  11'b11111111000; // [0.99609375]
//	storage[373] =  11'b11111111000; // [0.99609375]
//	storage[374] =  11'b11111111000; // [0.99609375]
//	storage[375] =  11'b11111111000; // [0.99609375]
//	storage[376] =  11'b01111111000; // [0.49609375]
//	storage[377] =  11'b00000000000; // [0.]
//	storage[378] =  11'b00011101000; // [0.11328125]
//	storage[379] =  11'b11111111000; // [0.99609375]
//	storage[380] =  11'b11111111000; // [0.99609375]
//	storage[381] =  11'b11111111000; // [0.99609375]
//	storage[382] =  11'b11111111000; // [0.99609375]
//	storage[383] =  11'b11111111000; // [0.99609375]
//	storage[384] =  11'b11111111000; // [0.99609375]
//	storage[385] =  11'b11111111000; // [0.99609375]
//	storage[386] =  11'b11111111000; // [0.99609375]
//	storage[387] =  11'b11111111000; // [0.99609375]
//	storage[388] =  11'b11111111000; // [0.99609375]
//	storage[389] =  11'b11111111000; // [0.99609375]
//	storage[390] =  11'b11111111000; // [0.99609375]
//	storage[391] =  11'b11111111000; // [0.99609375]
//	storage[392] =  11'b11111111000; // [0.99609375]
//	storage[393] =  11'b11111111000; // [0.99609375]
//	storage[394] =  11'b11111111000; // [0.99609375]
//	storage[395] =  11'b11111111000; // [0.99609375]
//	storage[396] =  11'b11111111000; // [0.99609375]
//	storage[397] =  11'b11111111000; // [0.99609375]
//	storage[398] =  11'b11111111000; // [0.99609375]
//	storage[399] =  11'b11111111000; // [0.99609375]
//	storage[400] =  11'b11111111000; // [0.99609375]
//	storage[401] =  11'b11111111000; // [0.99609375]
//	storage[402] =  11'b11111111000; // [0.99609375]
//	storage[403] =  11'b11111111000; // [0.99609375]
//	storage[404] =  11'b01111010000; // [0.4765625]
//	storage[405] =  11'b00000000000; // [0.]
//	storage[406] =  11'b00011100000; // [0.109375]
//	storage[407] =  11'b11111111000; // [0.99609375]
//	storage[408] =  11'b11111111000; // [0.99609375]
//	storage[409] =  11'b11111111000; // [0.99609375]
//	storage[410] =  11'b11111111000; // [0.99609375]
//	storage[411] =  11'b11111111000; // [0.99609375]
//	storage[412] =  11'b11111111000; // [0.99609375]
//	storage[413] =  11'b11111111000; // [0.99609375]
//	storage[414] =  11'b11111111000; // [0.99609375]
//	storage[415] =  11'b11111111000; // [0.99609375]
//	storage[416] =  11'b11111111000; // [0.99609375]
//	storage[417] =  11'b11111111000; // [0.99609375]
//	storage[418] =  11'b11111111000; // [0.99609375]
//	storage[419] =  11'b11111111000; // [0.99609375]
//	storage[420] =  11'b11111111000; // [0.99609375]
//	storage[421] =  11'b11111111000; // [0.99609375]
//	storage[422] =  11'b11111111000; // [0.99609375]
//	storage[423] =  11'b11111111000; // [0.99609375]
//	storage[424] =  11'b11111111000; // [0.99609375]
//	storage[425] =  11'b11111111000; // [0.99609375]
//	storage[426] =  11'b11111111000; // [0.99609375]
//	storage[427] =  11'b11111111000; // [0.99609375]
//	storage[428] =  11'b11111111000; // [0.99609375]
//	storage[429] =  11'b11111111000; // [0.99609375]
//	storage[430] =  11'b11111111000; // [0.99609375]
//	storage[431] =  11'b11111111000; // [0.99609375]
//	storage[432] =  11'b01101110000; // [0.4296875]
//	storage[433] =  11'b00000000000; // [0.]
//	storage[434] =  11'b00011111000; // [0.12109375]
//	storage[435] =  11'b11111111000; // [0.99609375]
//	storage[436] =  11'b11111111000; // [0.99609375]
//	storage[437] =  11'b11111111000; // [0.99609375]
//	storage[438] =  11'b11111111000; // [0.99609375]
//	storage[439] =  11'b11111111000; // [0.99609375]
//	storage[440] =  11'b11111111000; // [0.99609375]
//	storage[441] =  11'b11111111000; // [0.99609375]
//	storage[442] =  11'b11111111000; // [0.99609375]
//	storage[443] =  11'b11111111000; // [0.99609375]
//	storage[444] =  11'b11111111000; // [0.99609375]
//	storage[445] =  11'b11111111000; // [0.99609375]
//	storage[446] =  11'b11111111000; // [0.99609375]
//	storage[447] =  11'b11111111000; // [0.99609375]
//	storage[448] =  11'b11111111000; // [0.99609375]
//	storage[449] =  11'b11111111000; // [0.99609375]
//	storage[450] =  11'b11111111000; // [0.99609375]
//	storage[451] =  11'b11111111000; // [0.99609375]
//	storage[452] =  11'b11111111000; // [0.99609375]
//	storage[453] =  11'b11111111000; // [0.99609375]
//	storage[454] =  11'b11111111000; // [0.99609375]
//	storage[455] =  11'b11111111000; // [0.99609375]
//	storage[456] =  11'b11111111000; // [0.99609375]
//	storage[457] =  11'b11111111000; // [0.99609375]
//	storage[458] =  11'b11111111000; // [0.99609375]
//	storage[459] =  11'b11111111000; // [0.99609375]
//	storage[460] =  11'b01100100000; // [0.390625]
//	storage[461] =  11'b00000000000; // [0.]
//	storage[462] =  11'b00100001000; // [0.12890625]
//	storage[463] =  11'b11111111000; // [0.99609375]
//	storage[464] =  11'b11111111000; // [0.99609375]
//	storage[465] =  11'b11111111000; // [0.99609375]
//	storage[466] =  11'b11111111000; // [0.99609375]
//	storage[467] =  11'b11111111000; // [0.99609375]
//	storage[468] =  11'b11111111000; // [0.99609375]
//	storage[469] =  11'b11111111000; // [0.99609375]
//	storage[470] =  11'b11111111000; // [0.99609375]
//	storage[471] =  11'b11111111000; // [0.99609375]
//	storage[472] =  11'b11111111000; // [0.99609375]
//	storage[473] =  11'b11111111000; // [0.99609375]
//	storage[474] =  11'b11111111000; // [0.99609375]
//	storage[475] =  11'b11111111000; // [0.99609375]
//	storage[476] =  11'b11111111000; // [0.99609375]
//	storage[477] =  11'b11111111000; // [0.99609375]
//	storage[478] =  11'b11111111000; // [0.99609375]
//	storage[479] =  11'b11111111000; // [0.99609375]
//	storage[480] =  11'b11111111000; // [0.99609375]
//	storage[481] =  11'b11111111000; // [0.99609375]
//	storage[482] =  11'b11111111000; // [0.99609375]
//	storage[483] =  11'b11111111000; // [0.99609375]
//	storage[484] =  11'b11111111000; // [0.99609375]
//	storage[485] =  11'b11111111000; // [0.99609375]
//	storage[486] =  11'b11111111000; // [0.99609375]
//	storage[487] =  11'b11111111000; // [0.99609375]
//	storage[488] =  11'b01011001000; // [0.34765625]
//	storage[489] =  11'b00000000000; // [0.]
//	storage[490] =  11'b00100110000; // [0.1484375]
//	storage[491] =  11'b11111111000; // [0.99609375]
//	storage[492] =  11'b11111111000; // [0.99609375]
//	storage[493] =  11'b11111111000; // [0.99609375]
//	storage[494] =  11'b11111111000; // [0.99609375]
//	storage[495] =  11'b11111111000; // [0.99609375]
//	storage[496] =  11'b11111111000; // [0.99609375]
//	storage[497] =  11'b11111111000; // [0.99609375]
//	storage[498] =  11'b11111111000; // [0.99609375]
//	storage[499] =  11'b11111111000; // [0.99609375]
//	storage[500] =  11'b11111111000; // [0.99609375]
//	storage[501] =  11'b11111111000; // [0.99609375]
//	storage[502] =  11'b11111111000; // [0.99609375]
//	storage[503] =  11'b11111111000; // [0.99609375]
//	storage[504] =  11'b11111111000; // [0.99609375]
//	storage[505] =  11'b11111111000; // [0.99609375]
//	storage[506] =  11'b11111111000; // [0.99609375]
//	storage[507] =  11'b11111111000; // [0.99609375]
//	storage[508] =  11'b11111111000; // [0.99609375]
//	storage[509] =  11'b11111111000; // [0.99609375]
//	storage[510] =  11'b11111111000; // [0.99609375]
//	storage[511] =  11'b11111111000; // [0.99609375]
//	storage[512] =  11'b11111111000; // [0.99609375]
//	storage[513] =  11'b11111111000; // [0.99609375]
//	storage[514] =  11'b11111111000; // [0.99609375]
//	storage[515] =  11'b11111111000; // [0.99609375]
//	storage[516] =  11'b01000011000; // [0.26171875]
//	storage[517] =  11'b00000000000; // [0.]
//	storage[518] =  11'b00110100000; // [0.203125]
//	storage[519] =  11'b11111111000; // [0.99609375]
//	storage[520] =  11'b11111111000; // [0.99609375]
//	storage[521] =  11'b11111111000; // [0.99609375]
//	storage[522] =  11'b11111111000; // [0.99609375]
//	storage[523] =  11'b11111111000; // [0.99609375]
//	storage[524] =  11'b11111111000; // [0.99609375]
//	storage[525] =  11'b11111111000; // [0.99609375]
//	storage[526] =  11'b11111111000; // [0.99609375]
//	storage[527] =  11'b11111111000; // [0.99609375]
//	storage[528] =  11'b11111111000; // [0.99609375]
//	storage[529] =  11'b11111111000; // [0.99609375]
//	storage[530] =  11'b11111111000; // [0.99609375]
//	storage[531] =  11'b11111111000; // [0.99609375]
//	storage[532] =  11'b11111111000; // [0.99609375]
//	storage[533] =  11'b11111111000; // [0.99609375]
//	storage[534] =  11'b11111111000; // [0.99609375]
//	storage[535] =  11'b11111111000; // [0.99609375]
//	storage[536] =  11'b11111111000; // [0.99609375]
//	storage[537] =  11'b11111111000; // [0.99609375]
//	storage[538] =  11'b11111111000; // [0.99609375]
//	storage[539] =  11'b11111111000; // [0.99609375]
//	storage[540] =  11'b11111111000; // [0.99609375]
//	storage[541] =  11'b11111111000; // [0.99609375]
//	storage[542] =  11'b11111111000; // [0.99609375]
//	storage[543] =  11'b11111111000; // [0.99609375]
//	storage[544] =  11'b00101110000; // [0.1796875]
//	storage[545] =  11'b00000000000; // [0.]
//	storage[546] =  11'b01001101000; // [0.30078125]
//	storage[547] =  11'b11111111000; // [0.99609375]
//	storage[548] =  11'b11111111000; // [0.99609375]
//	storage[549] =  11'b11111111000; // [0.99609375]
//	storage[550] =  11'b11111111000; // [0.99609375]
//	storage[551] =  11'b11111111000; // [0.99609375]
//	storage[552] =  11'b11111111000; // [0.99609375]
//	storage[553] =  11'b11111111000; // [0.99609375]
//	storage[554] =  11'b11111111000; // [0.99609375]
//	storage[555] =  11'b11111111000; // [0.99609375]
//	storage[556] =  11'b11111111000; // [0.99609375]
//	storage[557] =  11'b11111111000; // [0.99609375]
//	storage[558] =  11'b11111111000; // [0.99609375]
//	storage[559] =  11'b11111111000; // [0.99609375]
//	storage[560] =  11'b11111111000; // [0.99609375]
//	storage[561] =  11'b11111111000; // [0.99609375]
//	storage[562] =  11'b11111111000; // [0.99609375]
//	storage[563] =  11'b11111111000; // [0.99609375]
//	storage[564] =  11'b11111111000; // [0.99609375]
//	storage[565] =  11'b11111111000; // [0.99609375]
//	storage[566] =  11'b11111111000; // [0.99609375]
//	storage[567] =  11'b11111111000; // [0.99609375]
//	storage[568] =  11'b11111111000; // [0.99609375]
//	storage[569] =  11'b11111111000; // [0.99609375]
//	storage[570] =  11'b11111111000; // [0.99609375]
//	storage[571] =  11'b11111111000; // [0.99609375]
//	storage[572] =  11'b00001110000; // [0.0546875]
//	storage[573] =  11'b00000000000; // [0.]
//	storage[574] =  11'b01101000000; // [0.40625]
//	storage[575] =  11'b11111111000; // [0.99609375]
//	storage[576] =  11'b11111111000; // [0.99609375]
//	storage[577] =  11'b11111111000; // [0.99609375]
//	storage[578] =  11'b11111111000; // [0.99609375]
//	storage[579] =  11'b11111111000; // [0.99609375]
//	storage[580] =  11'b11111111000; // [0.99609375]
//	storage[581] =  11'b11111111000; // [0.99609375]
//	storage[582] =  11'b11111111000; // [0.99609375]
//	storage[583] =  11'b11111111000; // [0.99609375]
//	storage[584] =  11'b11111111000; // [0.99609375]
//	storage[585] =  11'b11111111000; // [0.99609375]
//	storage[586] =  11'b11111111000; // [0.99609375]
//	storage[587] =  11'b11111111000; // [0.99609375]
//	storage[588] =  11'b11111111000; // [0.99609375]
//	storage[589] =  11'b11111111000; // [0.99609375]
//	storage[590] =  11'b11111111000; // [0.99609375]
//	storage[591] =  11'b11111111000; // [0.99609375]
//	storage[592] =  11'b11111111000; // [0.99609375]
//	storage[593] =  11'b11111111000; // [0.99609375]
//	storage[594] =  11'b11111111000; // [0.99609375]
//	storage[595] =  11'b11111111000; // [0.99609375]
//	storage[596] =  11'b11111111000; // [0.99609375]
//	storage[597] =  11'b11111111000; // [0.99609375]
//	storage[598] =  11'b11111111000; // [0.99609375]
//	storage[599] =  11'b11111111000; // [0.99609375]
//	storage[600] =  11'b00000001000; // [0.00390625]
//	storage[601] =  11'b00000000000; // [0.]
//	storage[602] =  11'b10000101000; // [0.51953125]
//	storage[603] =  11'b11111111000; // [0.99609375]
//	storage[604] =  11'b11111111000; // [0.99609375]
//	storage[605] =  11'b11111111000; // [0.99609375]
//	storage[606] =  11'b11111111000; // [0.99609375]
//	storage[607] =  11'b11111111000; // [0.99609375]
//	storage[608] =  11'b11111111000; // [0.99609375]
//	storage[609] =  11'b11111111000; // [0.99609375]
//	storage[610] =  11'b11111111000; // [0.99609375]
//	storage[611] =  11'b11111111000; // [0.99609375]
//	storage[612] =  11'b11111111000; // [0.99609375]
//	storage[613] =  11'b11111111000; // [0.99609375]
//	storage[614] =  11'b11111111000; // [0.99609375]
//	storage[615] =  11'b11111111000; // [0.99609375]
//	storage[616] =  11'b11111111000; // [0.99609375]
//	storage[617] =  11'b11111111000; // [0.99609375]
//	storage[618] =  11'b11111111000; // [0.99609375]
//	storage[619] =  11'b11111111000; // [0.99609375]
//	storage[620] =  11'b11111111000; // [0.99609375]
//	storage[621] =  11'b11111111000; // [0.99609375]
//	storage[622] =  11'b11111111000; // [0.99609375]
//	storage[623] =  11'b11111111000; // [0.99609375]
//	storage[624] =  11'b11111111000; // [0.99609375]
//	storage[625] =  11'b11111111000; // [0.99609375]
//	storage[626] =  11'b11111111000; // [0.99609375]
//	storage[627] =  11'b11111111000; // [0.99609375]
//	storage[628] =  11'b00000000000; // [0.]
//	storage[629] =  11'b00000000000; // [0.]
//	storage[630] =  11'b10011001000; // [0.59765625]
//	storage[631] =  11'b11111111000; // [0.99609375]
//	storage[632] =  11'b11111111000; // [0.99609375]
//	storage[633] =  11'b11111111000; // [0.99609375]
//	storage[634] =  11'b11111111000; // [0.99609375]
//	storage[635] =  11'b11111111000; // [0.99609375]
//	storage[636] =  11'b11111111000; // [0.99609375]
//	storage[637] =  11'b11111111000; // [0.99609375]
//	storage[638] =  11'b11111111000; // [0.99609375]
//	storage[639] =  11'b11111111000; // [0.99609375]
//	storage[640] =  11'b11111111000; // [0.99609375]
//	storage[641] =  11'b11111111000; // [0.99609375]
//	storage[642] =  11'b11111111000; // [0.99609375]
//	storage[643] =  11'b11111111000; // [0.99609375]
//	storage[644] =  11'b11111111000; // [0.99609375]
//	storage[645] =  11'b11111111000; // [0.99609375]
//	storage[646] =  11'b11111111000; // [0.99609375]
//	storage[647] =  11'b11111111000; // [0.99609375]
//	storage[648] =  11'b11111111000; // [0.99609375]
//	storage[649] =  11'b11111111000; // [0.99609375]
//	storage[650] =  11'b11111111000; // [0.99609375]
//	storage[651] =  11'b11111111000; // [0.99609375]
//	storage[652] =  11'b11111111000; // [0.99609375]
//	storage[653] =  11'b11111111000; // [0.99609375]
//	storage[654] =  11'b11111111000; // [0.99609375]
//	storage[655] =  11'b11111111000; // [0.99609375]
//	storage[656] =  11'b00000100000; // [0.015625]
//	storage[657] =  11'b00000000000; // [0.]
//	storage[658] =  11'b10100001000; // [0.62890625]
//	storage[659] =  11'b11111111000; // [0.99609375]
//	storage[660] =  11'b11111111000; // [0.99609375]
//	storage[661] =  11'b11111111000; // [0.99609375]
//	storage[662] =  11'b11111111000; // [0.99609375]
//	storage[663] =  11'b11111111000; // [0.99609375]
//	storage[664] =  11'b11111111000; // [0.99609375]
//	storage[665] =  11'b11111111000; // [0.99609375]
//	storage[666] =  11'b11111111000; // [0.99609375]
//	storage[667] =  11'b11111111000; // [0.99609375]
//	storage[668] =  11'b11111111000; // [0.99609375]
//	storage[669] =  11'b11111111000; // [0.99609375]
//	storage[670] =  11'b11111111000; // [0.99609375]
//	storage[671] =  11'b11111111000; // [0.99609375]
//	storage[672] =  11'b11111111000; // [0.99609375]
//	storage[673] =  11'b11111111000; // [0.99609375]
//	storage[674] =  11'b11111111000; // [0.99609375]
//	storage[675] =  11'b11111111000; // [0.99609375]
//	storage[676] =  11'b11111111000; // [0.99609375]
//	storage[677] =  11'b11111111000; // [0.99609375]
//	storage[678] =  11'b11111111000; // [0.99609375]
//	storage[679] =  11'b11111111000; // [0.99609375]
//	storage[680] =  11'b11111111000; // [0.99609375]
//	storage[681] =  11'b11111111000; // [0.99609375]
//	storage[682] =  11'b11111111000; // [0.99609375]
//	storage[683] =  11'b11111111000; // [0.99609375]
//	storage[684] =  11'b01100010000; // [0.3828125]
//	storage[685] =  11'b00011111000; // [0.12109375]
//	storage[686] =  11'b11100000000; // [0.875]
//	storage[687] =  11'b11111111000; // [0.99609375]
//	storage[688] =  11'b11111111000; // [0.99609375]
//	storage[689] =  11'b11111111000; // [0.99609375]
//	storage[690] =  11'b11111111000; // [0.99609375]
//	storage[691] =  11'b11111111000; // [0.99609375]
//	storage[692] =  11'b11111111000; // [0.99609375]
//	storage[693] =  11'b11111111000; // [0.99609375]
//	storage[694] =  11'b11111111000; // [0.99609375]
//	storage[695] =  11'b11111111000; // [0.99609375]
//	storage[696] =  11'b11111111000; // [0.99609375]
//	storage[697] =  11'b11111111000; // [0.99609375]
//	storage[698] =  11'b11111111000; // [0.99609375]
//	storage[699] =  11'b11111111000; // [0.99609375]
//	storage[700] =  11'b11111111000; // [0.99609375]
//	storage[701] =  11'b11111111000; // [0.99609375]
//	storage[702] =  11'b11111111000; // [0.99609375]
//	storage[703] =  11'b11111111000; // [0.99609375]
//	storage[704] =  11'b11111111000; // [0.99609375]
//	storage[705] =  11'b11111111000; // [0.99609375]
//	storage[706] =  11'b11111111000; // [0.99609375]
//	storage[707] =  11'b11111111000; // [0.99609375]
//	storage[708] =  11'b11111111000; // [0.99609375]
//	storage[709] =  11'b11111111000; // [0.99609375]
//	storage[710] =  11'b11111111000; // [0.99609375]
//	storage[711] =  11'b11111111000; // [0.99609375]
//	storage[712] =  11'b11111111000; // [0.99609375]
//	storage[713] =  11'b11111111000; // [0.99609375]
//	storage[714] =  11'b11111111000; // [0.99609375]
//	storage[715] =  11'b11111111000; // [0.99609375]
//	storage[716] =  11'b11111111000; // [0.99609375]
//	storage[717] =  11'b11111111000; // [0.99609375]
//	storage[718] =  11'b11111111000; // [0.99609375]
//	storage[719] =  11'b11111111000; // [0.99609375]
//	storage[720] =  11'b11111111000; // [0.99609375]
//	storage[721] =  11'b11111111000; // [0.99609375]
//	storage[722] =  11'b11111111000; // [0.99609375]
//	storage[723] =  11'b11111111000; // [0.99609375]
//	storage[724] =  11'b11111111000; // [0.99609375]
//	storage[725] =  11'b11111111000; // [0.99609375]
//	storage[726] =  11'b11111111000; // [0.99609375]
//	storage[727] =  11'b11111111000; // [0.99609375]
//	storage[728] =  11'b11111111000; // [0.99609375]
//	storage[729] =  11'b11111111000; // [0.99609375]
//	storage[730] =  11'b11111111000; // [0.99609375]
//	storage[731] =  11'b11111111000; // [0.99609375]
//	storage[732] =  11'b11111111000; // [0.99609375]
//	storage[733] =  11'b11111111000; // [0.99609375]
//	storage[734] =  11'b11111111000; // [0.99609375]
//	storage[735] =  11'b11111111000; // [0.99609375]
//	storage[736] =  11'b11111111000; // [0.99609375]
//	storage[737] =  11'b11111111000; // [0.99609375]
//	storage[738] =  11'b11111111000; // [0.99609375]
//	storage[739] =  11'b11111111000; // [0.99609375]
//	storage[740] =  11'b11111111000; // [0.99609375]
//	storage[741] =  11'b11111111000; // [0.99609375]
//	storage[742] =  11'b11111111000; // [0.99609375]
//	storage[743] =  11'b11111111000; // [0.99609375]
//	storage[744] =  11'b11111111000; // [0.99609375]
//	storage[745] =  11'b11111111000; // [0.99609375]
//	storage[746] =  11'b11111111000; // [0.99609375]
//	storage[747] =  11'b11111111000; // [0.99609375]
//	storage[748] =  11'b11111111000; // [0.99609375]
//	storage[749] =  11'b11111111000; // [0.99609375]
//	storage[750] =  11'b11111111000; // [0.99609375]
//	storage[751] =  11'b11111111000; // [0.99609375]
//	storage[752] =  11'b11111111000; // [0.99609375]
//	storage[753] =  11'b11111111000; // [0.99609375]
//	storage[754] =  11'b11111111000; // [0.99609375]
//	storage[755] =  11'b11111111000; // [0.99609375]
//	storage[756] =  11'b11111111000; // [0.99609375]
//	storage[757] =  11'b11111111000; // [0.99609375]
//	storage[758] =  11'b11111111000; // [0.99609375]
//	storage[759] =  11'b11111111000; // [0.99609375]
//	storage[760] =  11'b11111111000; // [0.99609375]
//	storage[761] =  11'b11111111000; // [0.99609375]
//	storage[762] =  11'b11111111000; // [0.99609375]
//	storage[763] =  11'b11111111000; // [0.99609375]
//	storage[764] =  11'b11111111000; // [0.99609375]
//	storage[765] =  11'b11111111000; // [0.99609375]
//	storage[766] =  11'b11111111000; // [0.99609375]
//	storage[767] =  11'b11111111000; // [0.99609375]
//	storage[768] =  11'b11111111000; // [0.99609375]
//	storage[769] =  11'b11111111000; // [0.99609375]
//	storage[770] =  11'b11111111000; // [0.99609375]
//	storage[771] =  11'b11111111000; // [0.99609375]
//	storage[772] =  11'b11111111000; // [0.99609375]
//	storage[773] =  11'b11111111000; // [0.99609375]
//	storage[774] =  11'b11111111000; // [0.99609375]
//	storage[775] =  11'b11111111000; // [0.99609375]
//	storage[776] =  11'b11111111000; // [0.99609375]
//	storage[777] =  11'b11111111000; // [0.99609375]
//	storage[778] =  11'b11111111000; // [0.99609375]
//	storage[779] =  11'b11111111000; // [0.99609375]
//	storage[780] =  11'b11111111000; // [0.99609375]
//	storage[781] =  11'b11111111000; // [0.99609375]
//	storage[782] =  11'b11111111000; // [0.99609375]
//	storage[783] =  11'b11111111000; // [0.99609375]
//end
/********************
// Precision: 12
// Image size: 28x28
// Answer: 2
*********************/
//initial
//begin
//	storage[0] =  11'b11111111000; // [0.99609375]
//	storage[1] =  11'b11111111000; // [0.99609375]
//	storage[2] =  11'b11111111000; // [0.99609375]
//	storage[3] =  11'b11111111000; // [0.99609375]
//	storage[4] =  11'b11111111000; // [0.99609375]
//	storage[5] =  11'b11111111000; // [0.99609375]
//	storage[6] =  11'b11111111000; // [0.99609375]
//	storage[7] =  11'b11111111000; // [0.99609375]
//	storage[8] =  11'b11111111000; // [0.99609375]
//	storage[9] =  11'b11111111000; // [0.99609375]
//	storage[10] =  11'b11111111000; // [0.99609375]
//	storage[11] =  11'b11111111000; // [0.99609375]
//	storage[12] =  11'b11111111000; // [0.99609375]
//	storage[13] =  11'b11111111000; // [0.99609375]
//	storage[14] =  11'b11111111000; // [0.99609375]
//	storage[15] =  11'b11111111000; // [0.99609375]
//	storage[16] =  11'b11111111000; // [0.99609375]
//	storage[17] =  11'b11111111000; // [0.99609375]
//	storage[18] =  11'b11111111000; // [0.99609375]
//	storage[19] =  11'b11111111000; // [0.99609375]
//	storage[20] =  11'b11111111000; // [0.99609375]
//	storage[21] =  11'b11111111000; // [0.99609375]
//	storage[22] =  11'b11111111000; // [0.99609375]
//	storage[23] =  11'b11111111000; // [0.99609375]
//	storage[24] =  11'b11111111000; // [0.99609375]
//	storage[25] =  11'b11111111000; // [0.99609375]
//	storage[26] =  11'b11111111000; // [0.99609375]
//	storage[27] =  11'b11111111000; // [0.99609375]
//	storage[28] =  11'b11111111000; // [0.99609375]
//	storage[29] =  11'b11111111000; // [0.99609375]
//	storage[30] =  11'b11111111000; // [0.99609375]
//	storage[31] =  11'b11111111000; // [0.99609375]
//	storage[32] =  11'b11111111000; // [0.99609375]
//	storage[33] =  11'b11111111000; // [0.99609375]
//	storage[34] =  11'b11111111000; // [0.99609375]
//	storage[35] =  11'b11111111000; // [0.99609375]
//	storage[36] =  11'b11111111000; // [0.99609375]
//	storage[37] =  11'b11111111000; // [0.99609375]
//	storage[38] =  11'b11111111000; // [0.99609375]
//	storage[39] =  11'b11111111000; // [0.99609375]
//	storage[40] =  11'b11111111000; // [0.99609375]
//	storage[41] =  11'b11111111000; // [0.99609375]
//	storage[42] =  11'b11111111000; // [0.99609375]
//	storage[43] =  11'b11111111000; // [0.99609375]
//	storage[44] =  11'b11111111000; // [0.99609375]
//	storage[45] =  11'b11111111000; // [0.99609375]
//	storage[46] =  11'b11111111000; // [0.99609375]
//	storage[47] =  11'b11111111000; // [0.99609375]
//	storage[48] =  11'b11111111000; // [0.99609375]
//	storage[49] =  11'b11111111000; // [0.99609375]
//	storage[50] =  11'b11111111000; // [0.99609375]
//	storage[51] =  11'b11111111000; // [0.99609375]
//	storage[52] =  11'b11111111000; // [0.99609375]
//	storage[53] =  11'b11111111000; // [0.99609375]
//	storage[54] =  11'b11111111000; // [0.99609375]
//	storage[55] =  11'b11111111000; // [0.99609375]
//	storage[56] =  11'b11111111000; // [0.99609375]
//	storage[57] =  11'b11111111000; // [0.99609375]
//	storage[58] =  11'b11111111000; // [0.99609375]
//	storage[59] =  11'b11111111000; // [0.99609375]
//	storage[60] =  11'b11111111000; // [0.99609375]
//	storage[61] =  11'b11111111000; // [0.99609375]
//	storage[62] =  11'b11111111000; // [0.99609375]
//	storage[63] =  11'b11111111000; // [0.99609375]
//	storage[64] =  11'b11111111000; // [0.99609375]
//	storage[65] =  11'b11111111000; // [0.99609375]
//	storage[66] =  11'b11111111000; // [0.99609375]
//	storage[67] =  11'b11111111000; // [0.99609375]
//	storage[68] =  11'b11111111000; // [0.99609375]
//	storage[69] =  11'b11111111000; // [0.99609375]
//	storage[70] =  11'b11111111000; // [0.99609375]
//	storage[71] =  11'b11111111000; // [0.99609375]
//	storage[72] =  11'b11111111000; // [0.99609375]
//	storage[73] =  11'b11111111000; // [0.99609375]
//	storage[74] =  11'b11111111000; // [0.99609375]
//	storage[75] =  11'b11111111000; // [0.99609375]
//	storage[76] =  11'b11111111000; // [0.99609375]
//	storage[77] =  11'b11111111000; // [0.99609375]
//	storage[78] =  11'b11111111000; // [0.99609375]
//	storage[79] =  11'b11111111000; // [0.99609375]
//	storage[80] =  11'b11111111000; // [0.99609375]
//	storage[81] =  11'b11111111000; // [0.99609375]
//	storage[82] =  11'b11111111000; // [0.99609375]
//	storage[83] =  11'b11111111000; // [0.99609375]
//	storage[84] =  11'b11111111000; // [0.99609375]
//	storage[85] =  11'b11111111000; // [0.99609375]
//	storage[86] =  11'b11111111000; // [0.99609375]
//	storage[87] =  11'b11111111000; // [0.99609375]
//	storage[88] =  11'b11111111000; // [0.99609375]
//	storage[89] =  11'b11111111000; // [0.99609375]
//	storage[90] =  11'b11111111000; // [0.99609375]
//	storage[91] =  11'b11111111000; // [0.99609375]
//	storage[92] =  11'b11010100000; // [0.828125]
//	storage[93] =  11'b01010111000; // [0.33984375]
//	storage[94] =  11'b01000010000; // [0.2578125]
//	storage[95] =  11'b01101010000; // [0.4140625]
//	storage[96] =  11'b11000110000; // [0.7734375]
//	storage[97] =  11'b11111111000; // [0.99609375]
//	storage[98] =  11'b11111111000; // [0.99609375]
//	storage[99] =  11'b11111111000; // [0.99609375]
//	storage[100] =  11'b11111111000; // [0.99609375]
//	storage[101] =  11'b11111111000; // [0.99609375]
//	storage[102] =  11'b11111111000; // [0.99609375]
//	storage[103] =  11'b11111111000; // [0.99609375]
//	storage[104] =  11'b11111111000; // [0.99609375]
//	storage[105] =  11'b11111111000; // [0.99609375]
//	storage[106] =  11'b11111111000; // [0.99609375]
//	storage[107] =  11'b11111111000; // [0.99609375]
//	storage[108] =  11'b11111111000; // [0.99609375]
//	storage[109] =  11'b11111111000; // [0.99609375]
//	storage[110] =  11'b11111111000; // [0.99609375]
//	storage[111] =  11'b11111111000; // [0.99609375]
//	storage[112] =  11'b11111111000; // [0.99609375]
//	storage[113] =  11'b11111111000; // [0.99609375]
//	storage[114] =  11'b11111111000; // [0.99609375]
//	storage[115] =  11'b11111111000; // [0.99609375]
//	storage[116] =  11'b11111111000; // [0.99609375]
//	storage[117] =  11'b11111111000; // [0.99609375]
//	storage[118] =  11'b11111111000; // [0.99609375]
//	storage[119] =  11'b11111111000; // [0.99609375]
//	storage[120] =  11'b10011111000; // [0.62109375]
//	storage[121] =  11'b00000110000; // [0.0234375]
//	storage[122] =  11'b00000000000; // [0.]
//	storage[123] =  11'b00000000000; // [0.]
//	storage[124] =  11'b00000000000; // [0.]
//	storage[125] =  11'b00111010000; // [0.2265625]
//	storage[126] =  11'b10111000000; // [0.71875]
//	storage[127] =  11'b11111111000; // [0.99609375]
//	storage[128] =  11'b11111111000; // [0.99609375]
//	storage[129] =  11'b11111111000; // [0.99609375]
//	storage[130] =  11'b11111111000; // [0.99609375]
//	storage[131] =  11'b11111111000; // [0.99609375]
//	storage[132] =  11'b11111111000; // [0.99609375]
//	storage[133] =  11'b11111111000; // [0.99609375]
//	storage[134] =  11'b11111111000; // [0.99609375]
//	storage[135] =  11'b11111111000; // [0.99609375]
//	storage[136] =  11'b11111111000; // [0.99609375]
//	storage[137] =  11'b11111111000; // [0.99609375]
//	storage[138] =  11'b11111111000; // [0.99609375]
//	storage[139] =  11'b11111111000; // [0.99609375]
//	storage[140] =  11'b11111111000; // [0.99609375]
//	storage[141] =  11'b11111111000; // [0.99609375]
//	storage[142] =  11'b11111111000; // [0.99609375]
//	storage[143] =  11'b11111111000; // [0.99609375]
//	storage[144] =  11'b11111111000; // [0.99609375]
//	storage[145] =  11'b11111111000; // [0.99609375]
//	storage[146] =  11'b11111111000; // [0.99609375]
//	storage[147] =  11'b11111111000; // [0.99609375]
//	storage[148] =  11'b11111111000; // [0.99609375]
//	storage[149] =  11'b11111111000; // [0.99609375]
//	storage[150] =  11'b11011010000; // [0.8515625]
//	storage[151] =  11'b01101010000; // [0.4140625]
//	storage[152] =  11'b00000110000; // [0.0234375]
//	storage[153] =  11'b00000000000; // [0.]
//	storage[154] =  11'b00000000000; // [0.]
//	storage[155] =  11'b01000111000; // [0.27734375]
//	storage[156] =  11'b11100010000; // [0.8828125]
//	storage[157] =  11'b11111111000; // [0.99609375]
//	storage[158] =  11'b11111111000; // [0.99609375]
//	storage[159] =  11'b11111111000; // [0.99609375]
//	storage[160] =  11'b11111111000; // [0.99609375]
//	storage[161] =  11'b11111111000; // [0.99609375]
//	storage[162] =  11'b11111111000; // [0.99609375]
//	storage[163] =  11'b11111111000; // [0.99609375]
//	storage[164] =  11'b11111111000; // [0.99609375]
//	storage[165] =  11'b11111111000; // [0.99609375]
//	storage[166] =  11'b11111111000; // [0.99609375]
//	storage[167] =  11'b11111111000; // [0.99609375]
//	storage[168] =  11'b11111111000; // [0.99609375]
//	storage[169] =  11'b11111111000; // [0.99609375]
//	storage[170] =  11'b11111111000; // [0.99609375]
//	storage[171] =  11'b11111111000; // [0.99609375]
//	storage[172] =  11'b11111111000; // [0.99609375]
//	storage[173] =  11'b11111111000; // [0.99609375]
//	storage[174] =  11'b11111111000; // [0.99609375]
//	storage[175] =  11'b11111111000; // [0.99609375]
//	storage[176] =  11'b11111111000; // [0.99609375]
//	storage[177] =  11'b11111111000; // [0.99609375]
//	storage[178] =  11'b11111111000; // [0.99609375]
//	storage[179] =  11'b11111111000; // [0.99609375]
//	storage[180] =  11'b11011110000; // [0.8671875]
//	storage[181] =  11'b01010100000; // [0.328125]
//	storage[182] =  11'b00000000000; // [0.]
//	storage[183] =  11'b00000000000; // [0.]
//	storage[184] =  11'b00010011000; // [0.07421875]
//	storage[185] =  11'b11000110000; // [0.7734375]
//	storage[186] =  11'b11111111000; // [0.99609375]
//	storage[187] =  11'b11111111000; // [0.99609375]
//	storage[188] =  11'b11111111000; // [0.99609375]
//	storage[189] =  11'b11111111000; // [0.99609375]
//	storage[190] =  11'b11111111000; // [0.99609375]
//	storage[191] =  11'b11111111000; // [0.99609375]
//	storage[192] =  11'b11111111000; // [0.99609375]
//	storage[193] =  11'b11111111000; // [0.99609375]
//	storage[194] =  11'b11111111000; // [0.99609375]
//	storage[195] =  11'b11111111000; // [0.99609375]
//	storage[196] =  11'b11111111000; // [0.99609375]
//	storage[197] =  11'b11111111000; // [0.99609375]
//	storage[198] =  11'b11111111000; // [0.99609375]
//	storage[199] =  11'b11111111000; // [0.99609375]
//	storage[200] =  11'b11111111000; // [0.99609375]
//	storage[201] =  11'b11111111000; // [0.99609375]
//	storage[202] =  11'b11111111000; // [0.99609375]
//	storage[203] =  11'b11111111000; // [0.99609375]
//	storage[204] =  11'b11111111000; // [0.99609375]
//	storage[205] =  11'b11111111000; // [0.99609375]
//	storage[206] =  11'b11111111000; // [0.99609375]
//	storage[207] =  11'b11111111000; // [0.99609375]
//	storage[208] =  11'b11111111000; // [0.99609375]
//	storage[209] =  11'b11111111000; // [0.99609375]
//	storage[210] =  11'b10101110000; // [0.6796875]
//	storage[211] =  11'b00010000000; // [0.0625]
//	storage[212] =  11'b00000000000; // [0.]
//	storage[213] =  11'b00011000000; // [0.09375]
//	storage[214] =  11'b11101111000; // [0.93359375]
//	storage[215] =  11'b11111111000; // [0.99609375]
//	storage[216] =  11'b11111111000; // [0.99609375]
//	storage[217] =  11'b11111111000; // [0.99609375]
//	storage[218] =  11'b11111111000; // [0.99609375]
//	storage[219] =  11'b11111111000; // [0.99609375]
//	storage[220] =  11'b11111111000; // [0.99609375]
//	storage[221] =  11'b11111111000; // [0.99609375]
//	storage[222] =  11'b11111111000; // [0.99609375]
//	storage[223] =  11'b11111111000; // [0.99609375]
//	storage[224] =  11'b11111111000; // [0.99609375]
//	storage[225] =  11'b11111111000; // [0.99609375]
//	storage[226] =  11'b11111111000; // [0.99609375]
//	storage[227] =  11'b11111111000; // [0.99609375]
//	storage[228] =  11'b11111111000; // [0.99609375]
//	storage[229] =  11'b11111111000; // [0.99609375]
//	storage[230] =  11'b11111111000; // [0.99609375]
//	storage[231] =  11'b11111111000; // [0.99609375]
//	storage[232] =  11'b11111111000; // [0.99609375]
//	storage[233] =  11'b11111111000; // [0.99609375]
//	storage[234] =  11'b11111111000; // [0.99609375]
//	storage[235] =  11'b11111111000; // [0.99609375]
//	storage[236] =  11'b11111111000; // [0.99609375]
//	storage[237] =  11'b11111111000; // [0.99609375]
//	storage[238] =  11'b11111111000; // [0.99609375]
//	storage[239] =  11'b11001111000; // [0.80859375]
//	storage[240] =  11'b00001010000; // [0.0390625]
//	storage[241] =  11'b00000000000; // [0.]
//	storage[242] =  11'b10000111000; // [0.52734375]
//	storage[243] =  11'b11111111000; // [0.99609375]
//	storage[244] =  11'b11111111000; // [0.99609375]
//	storage[245] =  11'b11111111000; // [0.99609375]
//	storage[246] =  11'b11111111000; // [0.99609375]
//	storage[247] =  11'b11111111000; // [0.99609375]
//	storage[248] =  11'b11111111000; // [0.99609375]
//	storage[249] =  11'b11111111000; // [0.99609375]
//	storage[250] =  11'b11111111000; // [0.99609375]
//	storage[251] =  11'b11111111000; // [0.99609375]
//	storage[252] =  11'b11111111000; // [0.99609375]
//	storage[253] =  11'b11111111000; // [0.99609375]
//	storage[254] =  11'b11111111000; // [0.99609375]
//	storage[255] =  11'b11111111000; // [0.99609375]
//	storage[256] =  11'b11111111000; // [0.99609375]
//	storage[257] =  11'b11111111000; // [0.99609375]
//	storage[258] =  11'b11111111000; // [0.99609375]
//	storage[259] =  11'b11111111000; // [0.99609375]
//	storage[260] =  11'b11111111000; // [0.99609375]
//	storage[261] =  11'b11111111000; // [0.99609375]
//	storage[262] =  11'b11111111000; // [0.99609375]
//	storage[263] =  11'b11111111000; // [0.99609375]
//	storage[264] =  11'b11111111000; // [0.99609375]
//	storage[265] =  11'b11111111000; // [0.99609375]
//	storage[266] =  11'b11111111000; // [0.99609375]
//	storage[267] =  11'b11111111000; // [0.99609375]
//	storage[268] =  11'b01010110000; // [0.3359375]
//	storage[269] =  11'b00000000000; // [0.]
//	storage[270] =  11'b01010000000; // [0.3125]
//	storage[271] =  11'b11111111000; // [0.99609375]
//	storage[272] =  11'b11111111000; // [0.99609375]
//	storage[273] =  11'b11111111000; // [0.99609375]
//	storage[274] =  11'b11111111000; // [0.99609375]
//	storage[275] =  11'b11111111000; // [0.99609375]
//	storage[276] =  11'b11111111000; // [0.99609375]
//	storage[277] =  11'b11111111000; // [0.99609375]
//	storage[278] =  11'b11111111000; // [0.99609375]
//	storage[279] =  11'b11111111000; // [0.99609375]
//	storage[280] =  11'b11111111000; // [0.99609375]
//	storage[281] =  11'b11111111000; // [0.99609375]
//	storage[282] =  11'b11111111000; // [0.99609375]
//	storage[283] =  11'b11111111000; // [0.99609375]
//	storage[284] =  11'b11111111000; // [0.99609375]
//	storage[285] =  11'b11111111000; // [0.99609375]
//	storage[286] =  11'b11111111000; // [0.99609375]
//	storage[287] =  11'b11111111000; // [0.99609375]
//	storage[288] =  11'b11111111000; // [0.99609375]
//	storage[289] =  11'b11111111000; // [0.99609375]
//	storage[290] =  11'b11111111000; // [0.99609375]
//	storage[291] =  11'b11111111000; // [0.99609375]
//	storage[292] =  11'b11111111000; // [0.99609375]
//	storage[293] =  11'b11111111000; // [0.99609375]
//	storage[294] =  11'b11111111000; // [0.99609375]
//	storage[295] =  11'b11111111000; // [0.99609375]
//	storage[296] =  11'b01011101000; // [0.36328125]
//	storage[297] =  11'b00000000000; // [0.]
//	storage[298] =  11'b01010101000; // [0.33203125]
//	storage[299] =  11'b11111111000; // [0.99609375]
//	storage[300] =  11'b11111111000; // [0.99609375]
//	storage[301] =  11'b11111111000; // [0.99609375]
//	storage[302] =  11'b11111111000; // [0.99609375]
//	storage[303] =  11'b11111111000; // [0.99609375]
//	storage[304] =  11'b11111111000; // [0.99609375]
//	storage[305] =  11'b11111111000; // [0.99609375]
//	storage[306] =  11'b11111111000; // [0.99609375]
//	storage[307] =  11'b11111111000; // [0.99609375]
//	storage[308] =  11'b11111111000; // [0.99609375]
//	storage[309] =  11'b11111111000; // [0.99609375]
//	storage[310] =  11'b11111111000; // [0.99609375]
//	storage[311] =  11'b11111111000; // [0.99609375]
//	storage[312] =  11'b11111111000; // [0.99609375]
//	storage[313] =  11'b11111111000; // [0.99609375]
//	storage[314] =  11'b11111111000; // [0.99609375]
//	storage[315] =  11'b11111111000; // [0.99609375]
//	storage[316] =  11'b11111111000; // [0.99609375]
//	storage[317] =  11'b11111111000; // [0.99609375]
//	storage[318] =  11'b11111111000; // [0.99609375]
//	storage[319] =  11'b11111111000; // [0.99609375]
//	storage[320] =  11'b11111111000; // [0.99609375]
//	storage[321] =  11'b11111111000; // [0.99609375]
//	storage[322] =  11'b11111111000; // [0.99609375]
//	storage[323] =  11'b11111111000; // [0.99609375]
//	storage[324] =  11'b00100110000; // [0.1484375]
//	storage[325] =  11'b00000000000; // [0.]
//	storage[326] =  11'b10000010000; // [0.5078125]
//	storage[327] =  11'b11111111000; // [0.99609375]
//	storage[328] =  11'b11111111000; // [0.99609375]
//	storage[329] =  11'b11111111000; // [0.99609375]
//	storage[330] =  11'b11111111000; // [0.99609375]
//	storage[331] =  11'b11111111000; // [0.99609375]
//	storage[332] =  11'b11111111000; // [0.99609375]
//	storage[333] =  11'b11111111000; // [0.99609375]
//	storage[334] =  11'b11111111000; // [0.99609375]
//	storage[335] =  11'b11111111000; // [0.99609375]
//	storage[336] =  11'b11111111000; // [0.99609375]
//	storage[337] =  11'b11111111000; // [0.99609375]
//	storage[338] =  11'b11111111000; // [0.99609375]
//	storage[339] =  11'b11111111000; // [0.99609375]
//	storage[340] =  11'b11111111000; // [0.99609375]
//	storage[341] =  11'b11111111000; // [0.99609375]
//	storage[342] =  11'b11111111000; // [0.99609375]
//	storage[343] =  11'b11111111000; // [0.99609375]
//	storage[344] =  11'b11111111000; // [0.99609375]
//	storage[345] =  11'b11111111000; // [0.99609375]
//	storage[346] =  11'b11111111000; // [0.99609375]
//	storage[347] =  11'b11111111000; // [0.99609375]
//	storage[348] =  11'b11111111000; // [0.99609375]
//	storage[349] =  11'b11111111000; // [0.99609375]
//	storage[350] =  11'b11111111000; // [0.99609375]
//	storage[351] =  11'b11001000000; // [0.78125]
//	storage[352] =  11'b00000000000; // [0.]
//	storage[353] =  11'b00000000000; // [0.]
//	storage[354] =  11'b11010011000; // [0.82421875]
//	storage[355] =  11'b11111111000; // [0.99609375]
//	storage[356] =  11'b11111111000; // [0.99609375]
//	storage[357] =  11'b11111111000; // [0.99609375]
//	storage[358] =  11'b11111111000; // [0.99609375]
//	storage[359] =  11'b11111111000; // [0.99609375]
//	storage[360] =  11'b11111111000; // [0.99609375]
//	storage[361] =  11'b11111111000; // [0.99609375]
//	storage[362] =  11'b11111111000; // [0.99609375]
//	storage[363] =  11'b11111111000; // [0.99609375]
//	storage[364] =  11'b11111111000; // [0.99609375]
//	storage[365] =  11'b11111111000; // [0.99609375]
//	storage[366] =  11'b11111111000; // [0.99609375]
//	storage[367] =  11'b11111111000; // [0.99609375]
//	storage[368] =  11'b11111111000; // [0.99609375]
//	storage[369] =  11'b11111111000; // [0.99609375]
//	storage[370] =  11'b11111111000; // [0.99609375]
//	storage[371] =  11'b11111111000; // [0.99609375]
//	storage[372] =  11'b11111111000; // [0.99609375]
//	storage[373] =  11'b11111111000; // [0.99609375]
//	storage[374] =  11'b11111111000; // [0.99609375]
//	storage[375] =  11'b11111111000; // [0.99609375]
//	storage[376] =  11'b11111111000; // [0.99609375]
//	storage[377] =  11'b11111111000; // [0.99609375]
//	storage[378] =  11'b11111111000; // [0.99609375]
//	storage[379] =  11'b01011000000; // [0.34375]
//	storage[380] =  11'b00000000000; // [0.]
//	storage[381] =  11'b00111100000; // [0.234375]
//	storage[382] =  11'b11111111000; // [0.99609375]
//	storage[383] =  11'b11111111000; // [0.99609375]
//	storage[384] =  11'b11111111000; // [0.99609375]
//	storage[385] =  11'b11111111000; // [0.99609375]
//	storage[386] =  11'b11111111000; // [0.99609375]
//	storage[387] =  11'b11111111000; // [0.99609375]
//	storage[388] =  11'b11111111000; // [0.99609375]
//	storage[389] =  11'b11111111000; // [0.99609375]
//	storage[390] =  11'b11111111000; // [0.99609375]
//	storage[391] =  11'b11111111000; // [0.99609375]
//	storage[392] =  11'b11111111000; // [0.99609375]
//	storage[393] =  11'b11111111000; // [0.99609375]
//	storage[394] =  11'b11111111000; // [0.99609375]
//	storage[395] =  11'b11111111000; // [0.99609375]
//	storage[396] =  11'b11111111000; // [0.99609375]
//	storage[397] =  11'b11111111000; // [0.99609375]
//	storage[398] =  11'b11111111000; // [0.99609375]
//	storage[399] =  11'b11111111000; // [0.99609375]
//	storage[400] =  11'b11111111000; // [0.99609375]
//	storage[401] =  11'b11111111000; // [0.99609375]
//	storage[402] =  11'b11111111000; // [0.99609375]
//	storage[403] =  11'b11111111000; // [0.99609375]
//	storage[404] =  11'b11111111000; // [0.99609375]
//	storage[405] =  11'b11111111000; // [0.99609375]
//	storage[406] =  11'b11001100000; // [0.796875]
//	storage[407] =  11'b00000010000; // [0.0078125]
//	storage[408] =  11'b00000000000; // [0.]
//	storage[409] =  11'b10111000000; // [0.71875]
//	storage[410] =  11'b11111111000; // [0.99609375]
//	storage[411] =  11'b11111111000; // [0.99609375]
//	storage[412] =  11'b11111111000; // [0.99609375]
//	storage[413] =  11'b11111111000; // [0.99609375]
//	storage[414] =  11'b11111111000; // [0.99609375]
//	storage[415] =  11'b11111111000; // [0.99609375]
//	storage[416] =  11'b11111111000; // [0.99609375]
//	storage[417] =  11'b11111111000; // [0.99609375]
//	storage[418] =  11'b11111111000; // [0.99609375]
//	storage[419] =  11'b11111111000; // [0.99609375]
//	storage[420] =  11'b11111111000; // [0.99609375]
//	storage[421] =  11'b11111111000; // [0.99609375]
//	storage[422] =  11'b11111111000; // [0.99609375]
//	storage[423] =  11'b11111111000; // [0.99609375]
//	storage[424] =  11'b11111111000; // [0.99609375]
//	storage[425] =  11'b11111111000; // [0.99609375]
//	storage[426] =  11'b11111111000; // [0.99609375]
//	storage[427] =  11'b11111111000; // [0.99609375]
//	storage[428] =  11'b11111111000; // [0.99609375]
//	storage[429] =  11'b11111111000; // [0.99609375]
//	storage[430] =  11'b11111111000; // [0.99609375]
//	storage[431] =  11'b11111111000; // [0.99609375]
//	storage[432] =  11'b11111111000; // [0.99609375]
//	storage[433] =  11'b11111100000; // [0.984375]
//	storage[434] =  11'b00110111000; // [0.21484375]
//	storage[435] =  11'b00000000000; // [0.]
//	storage[436] =  11'b01000100000; // [0.265625]
//	storage[437] =  11'b11111111000; // [0.99609375]
//	storage[438] =  11'b11111111000; // [0.99609375]
//	storage[439] =  11'b11111111000; // [0.99609375]
//	storage[440] =  11'b11111111000; // [0.99609375]
//	storage[441] =  11'b11111111000; // [0.99609375]
//	storage[442] =  11'b11111111000; // [0.99609375]
//	storage[443] =  11'b11111111000; // [0.99609375]
//	storage[444] =  11'b11111111000; // [0.99609375]
//	storage[445] =  11'b11111111000; // [0.99609375]
//	storage[446] =  11'b11111111000; // [0.99609375]
//	storage[447] =  11'b11111111000; // [0.99609375]
//	storage[448] =  11'b11111111000; // [0.99609375]
//	storage[449] =  11'b11111111000; // [0.99609375]
//	storage[450] =  11'b11111111000; // [0.99609375]
//	storage[451] =  11'b11111111000; // [0.99609375]
//	storage[452] =  11'b11111111000; // [0.99609375]
//	storage[453] =  11'b11111111000; // [0.99609375]
//	storage[454] =  11'b11111111000; // [0.99609375]
//	storage[455] =  11'b11111111000; // [0.99609375]
//	storage[456] =  11'b11111111000; // [0.99609375]
//	storage[457] =  11'b11111111000; // [0.99609375]
//	storage[458] =  11'b11111111000; // [0.99609375]
//	storage[459] =  11'b11111111000; // [0.99609375]
//	storage[460] =  11'b11111111000; // [0.99609375]
//	storage[461] =  11'b10001000000; // [0.53125]
//	storage[462] =  11'b00000000000; // [0.]
//	storage[463] =  11'b00001100000; // [0.046875]
//	storage[464] =  11'b11011101000; // [0.86328125]
//	storage[465] =  11'b11111111000; // [0.99609375]
//	storage[466] =  11'b11111111000; // [0.99609375]
//	storage[467] =  11'b11111111000; // [0.99609375]
//	storage[468] =  11'b11111111000; // [0.99609375]
//	storage[469] =  11'b11111111000; // [0.99609375]
//	storage[470] =  11'b11111111000; // [0.99609375]
//	storage[471] =  11'b11111111000; // [0.99609375]
//	storage[472] =  11'b11111111000; // [0.99609375]
//	storage[473] =  11'b11111111000; // [0.99609375]
//	storage[474] =  11'b11111111000; // [0.99609375]
//	storage[475] =  11'b11111111000; // [0.99609375]
//	storage[476] =  11'b11111111000; // [0.99609375]
//	storage[477] =  11'b11111111000; // [0.99609375]
//	storage[478] =  11'b11111111000; // [0.99609375]
//	storage[479] =  11'b11111111000; // [0.99609375]
//	storage[480] =  11'b11111111000; // [0.99609375]
//	storage[481] =  11'b11111111000; // [0.99609375]
//	storage[482] =  11'b11111111000; // [0.99609375]
//	storage[483] =  11'b11111111000; // [0.99609375]
//	storage[484] =  11'b11111111000; // [0.99609375]
//	storage[485] =  11'b11111111000; // [0.99609375]
//	storage[486] =  11'b11111111000; // [0.99609375]
//	storage[487] =  11'b11111111000; // [0.99609375]
//	storage[488] =  11'b11001000000; // [0.78125]
//	storage[489] =  11'b00000101000; // [0.01953125]
//	storage[490] =  11'b00000000000; // [0.]
//	storage[491] =  11'b10011101000; // [0.61328125]
//	storage[492] =  11'b11111111000; // [0.99609375]
//	storage[493] =  11'b11111111000; // [0.99609375]
//	storage[494] =  11'b11111111000; // [0.99609375]
//	storage[495] =  11'b11111111000; // [0.99609375]
//	storage[496] =  11'b11111111000; // [0.99609375]
//	storage[497] =  11'b11111111000; // [0.99609375]
//	storage[498] =  11'b11111111000; // [0.99609375]
//	storage[499] =  11'b11111111000; // [0.99609375]
//	storage[500] =  11'b11111111000; // [0.99609375]
//	storage[501] =  11'b11111111000; // [0.99609375]
//	storage[502] =  11'b11111111000; // [0.99609375]
//	storage[503] =  11'b11111111000; // [0.99609375]
//	storage[504] =  11'b11111111000; // [0.99609375]
//	storage[505] =  11'b11111111000; // [0.99609375]
//	storage[506] =  11'b11111111000; // [0.99609375]
//	storage[507] =  11'b11111111000; // [0.99609375]
//	storage[508] =  11'b11111111000; // [0.99609375]
//	storage[509] =  11'b11111111000; // [0.99609375]
//	storage[510] =  11'b11111111000; // [0.99609375]
//	storage[511] =  11'b11111111000; // [0.99609375]
//	storage[512] =  11'b11111111000; // [0.99609375]
//	storage[513] =  11'b11111111000; // [0.99609375]
//	storage[514] =  11'b11111111000; // [0.99609375]
//	storage[515] =  11'b11101011000; // [0.91796875]
//	storage[516] =  11'b00011111000; // [0.12109375]
//	storage[517] =  11'b00000000000; // [0.]
//	storage[518] =  11'b01011101000; // [0.36328125]
//	storage[519] =  11'b11111111000; // [0.99609375]
//	storage[520] =  11'b11111111000; // [0.99609375]
//	storage[521] =  11'b11111111000; // [0.99609375]
//	storage[522] =  11'b11111111000; // [0.99609375]
//	storage[523] =  11'b11111111000; // [0.99609375]
//	storage[524] =  11'b11111111000; // [0.99609375]
//	storage[525] =  11'b11111111000; // [0.99609375]
//	storage[526] =  11'b11111111000; // [0.99609375]
//	storage[527] =  11'b11111111000; // [0.99609375]
//	storage[528] =  11'b11111111000; // [0.99609375]
//	storage[529] =  11'b11111111000; // [0.99609375]
//	storage[530] =  11'b11111111000; // [0.99609375]
//	storage[531] =  11'b11111111000; // [0.99609375]
//	storage[532] =  11'b11111111000; // [0.99609375]
//	storage[533] =  11'b11111111000; // [0.99609375]
//	storage[534] =  11'b11111111000; // [0.99609375]
//	storage[535] =  11'b11111111000; // [0.99609375]
//	storage[536] =  11'b11111111000; // [0.99609375]
//	storage[537] =  11'b11111111000; // [0.99609375]
//	storage[538] =  11'b11111111000; // [0.99609375]
//	storage[539] =  11'b11111111000; // [0.99609375]
//	storage[540] =  11'b11111111000; // [0.99609375]
//	storage[541] =  11'b11111111000; // [0.99609375]
//	storage[542] =  11'b11111011000; // [0.98046875]
//	storage[543] =  11'b01000101000; // [0.26953125]
//	storage[544] =  11'b00000000000; // [0.]
//	storage[545] =  11'b00110101000; // [0.20703125]
//	storage[546] =  11'b11110110000; // [0.9609375]
//	storage[547] =  11'b11111111000; // [0.99609375]
//	storage[548] =  11'b11111111000; // [0.99609375]
//	storage[549] =  11'b11111111000; // [0.99609375]
//	storage[550] =  11'b11111111000; // [0.99609375]
//	storage[551] =  11'b11111111000; // [0.99609375]
//	storage[552] =  11'b11111111000; // [0.99609375]
//	storage[553] =  11'b11111111000; // [0.99609375]
//	storage[554] =  11'b11111111000; // [0.99609375]
//	storage[555] =  11'b11111111000; // [0.99609375]
//	storage[556] =  11'b11111111000; // [0.99609375]
//	storage[557] =  11'b11111111000; // [0.99609375]
//	storage[558] =  11'b11111111000; // [0.99609375]
//	storage[559] =  11'b11111111000; // [0.99609375]
//	storage[560] =  11'b11111111000; // [0.99609375]
//	storage[561] =  11'b11111111000; // [0.99609375]
//	storage[562] =  11'b11111111000; // [0.99609375]
//	storage[563] =  11'b11111111000; // [0.99609375]
//	storage[564] =  11'b11111111000; // [0.99609375]
//	storage[565] =  11'b11111111000; // [0.99609375]
//	storage[566] =  11'b11111111000; // [0.99609375]
//	storage[567] =  11'b11111111000; // [0.99609375]
//	storage[568] =  11'b11111111000; // [0.99609375]
//	storage[569] =  11'b11111111000; // [0.99609375]
//	storage[570] =  11'b10010001000; // [0.56640625]
//	storage[571] =  11'b00000000000; // [0.]
//	storage[572] =  11'b00011011000; // [0.10546875]
//	storage[573] =  11'b11100110000; // [0.8984375]
//	storage[574] =  11'b11111111000; // [0.99609375]
//	storage[575] =  11'b11111111000; // [0.99609375]
//	storage[576] =  11'b11111111000; // [0.99609375]
//	storage[577] =  11'b11111111000; // [0.99609375]
//	storage[578] =  11'b11111111000; // [0.99609375]
//	storage[579] =  11'b11111111000; // [0.99609375]
//	storage[580] =  11'b11111111000; // [0.99609375]
//	storage[581] =  11'b11111111000; // [0.99609375]
//	storage[582] =  11'b11111111000; // [0.99609375]
//	storage[583] =  11'b11111111000; // [0.99609375]
//	storage[584] =  11'b11111111000; // [0.99609375]
//	storage[585] =  11'b11111111000; // [0.99609375]
//	storage[586] =  11'b11111111000; // [0.99609375]
//	storage[587] =  11'b11111111000; // [0.99609375]
//	storage[588] =  11'b11111111000; // [0.99609375]
//	storage[589] =  11'b11111111000; // [0.99609375]
//	storage[590] =  11'b11111111000; // [0.99609375]
//	storage[591] =  11'b11111111000; // [0.99609375]
//	storage[592] =  11'b11111111000; // [0.99609375]
//	storage[593] =  11'b11111111000; // [0.99609375]
//	storage[594] =  11'b11111111000; // [0.99609375]
//	storage[595] =  11'b11111111000; // [0.99609375]
//	storage[596] =  11'b11111111000; // [0.99609375]
//	storage[597] =  11'b11100011000; // [0.88671875]
//	storage[598] =  11'b00001101000; // [0.05078125]
//	storage[599] =  11'b00000000000; // [0.]
//	storage[600] =  11'b10011101000; // [0.61328125]
//	storage[601] =  11'b10111101000; // [0.73828125]
//	storage[602] =  11'b10101100000; // [0.671875]
//	storage[603] =  11'b10100011000; // [0.63671875]
//	storage[604] =  11'b10100001000; // [0.62890625]
//	storage[605] =  11'b10011111000; // [0.62109375]
//	storage[606] =  11'b10011110000; // [0.6171875]
//	storage[607] =  11'b10100111000; // [0.65234375]
//	storage[608] =  11'b11001100000; // [0.796875]
//	storage[609] =  11'b11111101000; // [0.98828125]
//	storage[610] =  11'b11111111000; // [0.99609375]
//	storage[611] =  11'b11111111000; // [0.99609375]
//	storage[612] =  11'b11111111000; // [0.99609375]
//	storage[613] =  11'b11111111000; // [0.99609375]
//	storage[614] =  11'b11111111000; // [0.99609375]
//	storage[615] =  11'b11111111000; // [0.99609375]
//	storage[616] =  11'b11111111000; // [0.99609375]
//	storage[617] =  11'b11111111000; // [0.99609375]
//	storage[618] =  11'b11111111000; // [0.99609375]
//	storage[619] =  11'b11111111000; // [0.99609375]
//	storage[620] =  11'b11111111000; // [0.99609375]
//	storage[621] =  11'b11111111000; // [0.99609375]
//	storage[622] =  11'b11111111000; // [0.99609375]
//	storage[623] =  11'b11111111000; // [0.99609375]
//	storage[624] =  11'b11011101000; // [0.86328125]
//	storage[625] =  11'b00111001000; // [0.22265625]
//	storage[626] =  11'b00000000000; // [0.]
//	storage[627] =  11'b00000000000; // [0.]
//	storage[628] =  11'b00000000000; // [0.]
//	storage[629] =  11'b00000000000; // [0.]
//	storage[630] =  11'b00000000000; // [0.]
//	storage[631] =  11'b00000000000; // [0.]
//	storage[632] =  11'b00000000000; // [0.]
//	storage[633] =  11'b00000000000; // [0.]
//	storage[634] =  11'b00000000000; // [0.]
//	storage[635] =  11'b00000000000; // [0.]
//	storage[636] =  11'b00000000000; // [0.]
//	storage[637] =  11'b01001011000; // [0.29296875]
//	storage[638] =  11'b11111111000; // [0.99609375]
//	storage[639] =  11'b11111111000; // [0.99609375]
//	storage[640] =  11'b11111111000; // [0.99609375]
//	storage[641] =  11'b11111111000; // [0.99609375]
//	storage[642] =  11'b11111111000; // [0.99609375]
//	storage[643] =  11'b11111111000; // [0.99609375]
//	storage[644] =  11'b11111111000; // [0.99609375]
//	storage[645] =  11'b11111111000; // [0.99609375]
//	storage[646] =  11'b11111111000; // [0.99609375]
//	storage[647] =  11'b11111111000; // [0.99609375]
//	storage[648] =  11'b11111111000; // [0.99609375]
//	storage[649] =  11'b11111111000; // [0.99609375]
//	storage[650] =  11'b11111111000; // [0.99609375]
//	storage[651] =  11'b11111111000; // [0.99609375]
//	storage[652] =  11'b01011111000; // [0.37109375]
//	storage[653] =  11'b00000000000; // [0.]
//	storage[654] =  11'b00000000000; // [0.]
//	storage[655] =  11'b00000000000; // [0.]
//	storage[656] =  11'b00000000000; // [0.]
//	storage[657] =  11'b00000000000; // [0.]
//	storage[658] =  11'b00000000000; // [0.]
//	storage[659] =  11'b00000000000; // [0.]
//	storage[660] =  11'b00000000000; // [0.]
//	storage[661] =  11'b00000000000; // [0.]
//	storage[662] =  11'b00000000000; // [0.]
//	storage[663] =  11'b00000000000; // [0.]
//	storage[664] =  11'b00010101000; // [0.08203125]
//	storage[665] =  11'b01111100000; // [0.484375]
//	storage[666] =  11'b11111111000; // [0.99609375]
//	storage[667] =  11'b11111111000; // [0.99609375]
//	storage[668] =  11'b11111111000; // [0.99609375]
//	storage[669] =  11'b11111111000; // [0.99609375]
//	storage[670] =  11'b11111111000; // [0.99609375]
//	storage[671] =  11'b11111111000; // [0.99609375]
//	storage[672] =  11'b11111111000; // [0.99609375]
//	storage[673] =  11'b11111111000; // [0.99609375]
//	storage[674] =  11'b11111111000; // [0.99609375]
//	storage[675] =  11'b11111111000; // [0.99609375]
//	storage[676] =  11'b11111111000; // [0.99609375]
//	storage[677] =  11'b11111111000; // [0.99609375]
//	storage[678] =  11'b11111111000; // [0.99609375]
//	storage[679] =  11'b11111111000; // [0.99609375]
//	storage[680] =  11'b11001011000; // [0.79296875]
//	storage[681] =  11'b01110101000; // [0.45703125]
//	storage[682] =  11'b10100011000; // [0.63671875]
//	storage[683] =  11'b11000011000; // [0.76171875]
//	storage[684] =  11'b11011110000; // [0.8671875]
//	storage[685] =  11'b11101011000; // [0.91796875]
//	storage[686] =  11'b11110010000; // [0.9453125]
//	storage[687] =  11'b11101101000; // [0.92578125]
//	storage[688] =  11'b11101010000; // [0.9140625]
//	storage[689] =  11'b11100011000; // [0.88671875]
//	storage[690] =  11'b11100000000; // [0.875]
//	storage[691] =  11'b11101110000; // [0.9296875]
//	storage[692] =  11'b11111111000; // [0.99609375]
//	storage[693] =  11'b11111111000; // [0.99609375]
//	storage[694] =  11'b11111111000; // [0.99609375]
//	storage[695] =  11'b11111111000; // [0.99609375]
//	storage[696] =  11'b11111111000; // [0.99609375]
//	storage[697] =  11'b11111111000; // [0.99609375]
//	storage[698] =  11'b11111111000; // [0.99609375]
//	storage[699] =  11'b11111111000; // [0.99609375]
//	storage[700] =  11'b11111111000; // [0.99609375]
//	storage[701] =  11'b11111111000; // [0.99609375]
//	storage[702] =  11'b11111111000; // [0.99609375]
//	storage[703] =  11'b11111111000; // [0.99609375]
//	storage[704] =  11'b11111111000; // [0.99609375]
//	storage[705] =  11'b11111111000; // [0.99609375]
//	storage[706] =  11'b11111111000; // [0.99609375]
//	storage[707] =  11'b11111111000; // [0.99609375]
//	storage[708] =  11'b11111111000; // [0.99609375]
//	storage[709] =  11'b11111111000; // [0.99609375]
//	storage[710] =  11'b11111111000; // [0.99609375]
//	storage[711] =  11'b11111111000; // [0.99609375]
//	storage[712] =  11'b11111111000; // [0.99609375]
//	storage[713] =  11'b11111111000; // [0.99609375]
//	storage[714] =  11'b11111111000; // [0.99609375]
//	storage[715] =  11'b11111111000; // [0.99609375]
//	storage[716] =  11'b11111111000; // [0.99609375]
//	storage[717] =  11'b11111111000; // [0.99609375]
//	storage[718] =  11'b11111111000; // [0.99609375]
//	storage[719] =  11'b11111111000; // [0.99609375]
//	storage[720] =  11'b11111111000; // [0.99609375]
//	storage[721] =  11'b11111111000; // [0.99609375]
//	storage[722] =  11'b11111111000; // [0.99609375]
//	storage[723] =  11'b11111111000; // [0.99609375]
//	storage[724] =  11'b11111111000; // [0.99609375]
//	storage[725] =  11'b11111111000; // [0.99609375]
//	storage[726] =  11'b11111111000; // [0.99609375]
//	storage[727] =  11'b11111111000; // [0.99609375]
//	storage[728] =  11'b11111111000; // [0.99609375]
//	storage[729] =  11'b11111111000; // [0.99609375]
//	storage[730] =  11'b11111111000; // [0.99609375]
//	storage[731] =  11'b11111111000; // [0.99609375]
//	storage[732] =  11'b11111111000; // [0.99609375]
//	storage[733] =  11'b11111111000; // [0.99609375]
//	storage[734] =  11'b11111111000; // [0.99609375]
//	storage[735] =  11'b11111111000; // [0.99609375]
//	storage[736] =  11'b11111111000; // [0.99609375]
//	storage[737] =  11'b11111111000; // [0.99609375]
//	storage[738] =  11'b11111111000; // [0.99609375]
//	storage[739] =  11'b11111111000; // [0.99609375]
//	storage[740] =  11'b11111111000; // [0.99609375]
//	storage[741] =  11'b11111111000; // [0.99609375]
//	storage[742] =  11'b11111111000; // [0.99609375]
//	storage[743] =  11'b11111111000; // [0.99609375]
//	storage[744] =  11'b11111111000; // [0.99609375]
//	storage[745] =  11'b11111111000; // [0.99609375]
//	storage[746] =  11'b11111111000; // [0.99609375]
//	storage[747] =  11'b11111111000; // [0.99609375]
//	storage[748] =  11'b11111111000; // [0.99609375]
//	storage[749] =  11'b11111111000; // [0.99609375]
//	storage[750] =  11'b11111111000; // [0.99609375]
//	storage[751] =  11'b11111111000; // [0.99609375]
//	storage[752] =  11'b11111111000; // [0.99609375]
//	storage[753] =  11'b11111111000; // [0.99609375]
//	storage[754] =  11'b11111111000; // [0.99609375]
//	storage[755] =  11'b11111111000; // [0.99609375]
//	storage[756] =  11'b11111111000; // [0.99609375]
//	storage[757] =  11'b11111111000; // [0.99609375]
//	storage[758] =  11'b11111111000; // [0.99609375]
//	storage[759] =  11'b11111111000; // [0.99609375]
//	storage[760] =  11'b11111111000; // [0.99609375]
//	storage[761] =  11'b11111111000; // [0.99609375]
//	storage[762] =  11'b11111111000; // [0.99609375]
//	storage[763] =  11'b11111111000; // [0.99609375]
//	storage[764] =  11'b11111111000; // [0.99609375]
//	storage[765] =  11'b11111111000; // [0.99609375]
//	storage[766] =  11'b11111111000; // [0.99609375]
//	storage[767] =  11'b11111111000; // [0.99609375]
//	storage[768] =  11'b11111111000; // [0.99609375]
//	storage[769] =  11'b11111111000; // [0.99609375]
//	storage[770] =  11'b11111111000; // [0.99609375]
//	storage[771] =  11'b11111111000; // [0.99609375]
//	storage[772] =  11'b11111111000; // [0.99609375]
//	storage[773] =  11'b11111111000; // [0.99609375]
//	storage[774] =  11'b11111111000; // [0.99609375]
//	storage[775] =  11'b11111111000; // [0.99609375]
//	storage[776] =  11'b11111111000; // [0.99609375]
//	storage[777] =  11'b11111111000; // [0.99609375]
//	storage[778] =  11'b11111111000; // [0.99609375]
//	storage[779] =  11'b11111111000; // [0.99609375]
//	storage[780] =  11'b11111111000; // [0.99609375]
//	storage[781] =  11'b11111111000; // [0.99609375]
//	storage[782] =  11'b11111111000; // [0.99609375]
//	storage[783] =  11'b11111111000; // [0.99609375]
//end
/***************
// Precision: 12
// Image size: 28x28
// Answer: 3
****************/
//initial
//begin
//	storage[0] =  11'b11111111000; // [0.99609375]
//	storage[1] =  11'b11111111000; // [0.99609375]
//	storage[2] =  11'b11111111000; // [0.99609375]
//	storage[3] =  11'b11111111000; // [0.99609375]
//	storage[4] =  11'b11111111000; // [0.99609375]
//	storage[5] =  11'b11111111000; // [0.99609375]
//	storage[6] =  11'b11111111000; // [0.99609375]
//	storage[7] =  11'b11111111000; // [0.99609375]
//	storage[8] =  11'b11111111000; // [0.99609375]
//	storage[9] =  11'b11111111000; // [0.99609375]
//	storage[10] =  11'b11111111000; // [0.99609375]
//	storage[11] =  11'b11111111000; // [0.99609375]
//	storage[12] =  11'b11111111000; // [0.99609375]
//	storage[13] =  11'b11111111000; // [0.99609375]
//	storage[14] =  11'b11111111000; // [0.99609375]
//	storage[15] =  11'b11111111000; // [0.99609375]
//	storage[16] =  11'b11111111000; // [0.99609375]
//	storage[17] =  11'b11111111000; // [0.99609375]
//	storage[18] =  11'b11111111000; // [0.99609375]
//	storage[19] =  11'b11111111000; // [0.99609375]
//	storage[20] =  11'b11111111000; // [0.99609375]
//	storage[21] =  11'b11111111000; // [0.99609375]
//	storage[22] =  11'b11111111000; // [0.99609375]
//	storage[23] =  11'b11111111000; // [0.99609375]
//	storage[24] =  11'b11111111000; // [0.99609375]
//	storage[25] =  11'b11111111000; // [0.99609375]
//	storage[26] =  11'b11111111000; // [0.99609375]
//	storage[27] =  11'b11111111000; // [0.99609375]
//	storage[28] =  11'b11111111000; // [0.99609375]
//	storage[29] =  11'b11111111000; // [0.99609375]
//	storage[30] =  11'b11111111000; // [0.99609375]
//	storage[31] =  11'b11111111000; // [0.99609375]
//	storage[32] =  11'b11111111000; // [0.99609375]
//	storage[33] =  11'b11111111000; // [0.99609375]
//	storage[34] =  11'b11111111000; // [0.99609375]
//	storage[35] =  11'b11111111000; // [0.99609375]
//	storage[36] =  11'b11111111000; // [0.99609375]
//	storage[37] =  11'b11111111000; // [0.99609375]
//	storage[38] =  11'b11111111000; // [0.99609375]
//	storage[39] =  11'b11111111000; // [0.99609375]
//	storage[40] =  11'b11111111000; // [0.99609375]
//	storage[41] =  11'b11111111000; // [0.99609375]
//	storage[42] =  11'b11111111000; // [0.99609375]
//	storage[43] =  11'b11111111000; // [0.99609375]
//	storage[44] =  11'b11111111000; // [0.99609375]
//	storage[45] =  11'b11111111000; // [0.99609375]
//	storage[46] =  11'b11111111000; // [0.99609375]
//	storage[47] =  11'b11111111000; // [0.99609375]
//	storage[48] =  11'b11111111000; // [0.99609375]
//	storage[49] =  11'b11111111000; // [0.99609375]
//	storage[50] =  11'b11111111000; // [0.99609375]
//	storage[51] =  11'b11111111000; // [0.99609375]
//	storage[52] =  11'b11111111000; // [0.99609375]
//	storage[53] =  11'b11111111000; // [0.99609375]
//	storage[54] =  11'b11111111000; // [0.99609375]
//	storage[55] =  11'b11111111000; // [0.99609375]
//	storage[56] =  11'b11111111000; // [0.99609375]
//	storage[57] =  11'b11111111000; // [0.99609375]
//	storage[58] =  11'b11111111000; // [0.99609375]
//	storage[59] =  11'b11111111000; // [0.99609375]
//	storage[60] =  11'b11111111000; // [0.99609375]
//	storage[61] =  11'b11111111000; // [0.99609375]
//	storage[62] =  11'b11111111000; // [0.99609375]
//	storage[63] =  11'b11111111000; // [0.99609375]
//	storage[64] =  11'b11111111000; // [0.99609375]
//	storage[65] =  11'b11111111000; // [0.99609375]
//	storage[66] =  11'b11111111000; // [0.99609375]
//	storage[67] =  11'b11111111000; // [0.99609375]
//	storage[68] =  11'b11111111000; // [0.99609375]
//	storage[69] =  11'b11111111000; // [0.99609375]
//	storage[70] =  11'b11111111000; // [0.99609375]
//	storage[71] =  11'b11111111000; // [0.99609375]
//	storage[72] =  11'b11111111000; // [0.99609375]
//	storage[73] =  11'b11111111000; // [0.99609375]
//	storage[74] =  11'b11111111000; // [0.99609375]
//	storage[75] =  11'b11111111000; // [0.99609375]
//	storage[76] =  11'b11111111000; // [0.99609375]
//	storage[77] =  11'b11111111000; // [0.99609375]
//	storage[78] =  11'b11111111000; // [0.99609375]
//	storage[79] =  11'b11111111000; // [0.99609375]
//	storage[80] =  11'b11111111000; // [0.99609375]
//	storage[81] =  11'b11111111000; // [0.99609375]
//	storage[82] =  11'b11111111000; // [0.99609375]
//	storage[83] =  11'b11111111000; // [0.99609375]
//	storage[84] =  11'b11111111000; // [0.99609375]
//	storage[85] =  11'b11111111000; // [0.99609375]
//	storage[86] =  11'b11111111000; // [0.99609375]
//	storage[87] =  11'b11111111000; // [0.99609375]
//	storage[88] =  11'b11111111000; // [0.99609375]
//	storage[89] =  11'b11111111000; // [0.99609375]
//	storage[90] =  11'b11111111000; // [0.99609375]
//	storage[91] =  11'b11111111000; // [0.99609375]
//	storage[92] =  11'b11111111000; // [0.99609375]
//	storage[93] =  11'b11111111000; // [0.99609375]
//	storage[94] =  11'b11111111000; // [0.99609375]
//	storage[95] =  11'b11111111000; // [0.99609375]
//	storage[96] =  11'b11111111000; // [0.99609375]
//	storage[97] =  11'b11111111000; // [0.99609375]
//	storage[98] =  11'b11111111000; // [0.99609375]
//	storage[99] =  11'b11111111000; // [0.99609375]
//	storage[100] =  11'b11111111000; // [0.99609375]
//	storage[101] =  11'b11111111000; // [0.99609375]
//	storage[102] =  11'b11111111000; // [0.99609375]
//	storage[103] =  11'b11111111000; // [0.99609375]
//	storage[104] =  11'b11111111000; // [0.99609375]
//	storage[105] =  11'b11111111000; // [0.99609375]
//	storage[106] =  11'b11111111000; // [0.99609375]
//	storage[107] =  11'b11111111000; // [0.99609375]
//	storage[108] =  11'b11111111000; // [0.99609375]
//	storage[109] =  11'b11111111000; // [0.99609375]
//	storage[110] =  11'b11111111000; // [0.99609375]
//	storage[111] =  11'b11111111000; // [0.99609375]
//	storage[112] =  11'b11111111000; // [0.99609375]
//	storage[113] =  11'b11111111000; // [0.99609375]
//	storage[114] =  11'b11111111000; // [0.99609375]
//	storage[115] =  11'b11111111000; // [0.99609375]
//	storage[116] =  11'b11111111000; // [0.99609375]
//	storage[117] =  11'b11111111000; // [0.99609375]
//	storage[118] =  11'b11111111000; // [0.99609375]
//	storage[119] =  11'b11111111000; // [0.99609375]
//	storage[120] =  11'b11111111000; // [0.99609375]
//	storage[121] =  11'b11111111000; // [0.99609375]
//	storage[122] =  11'b11111111000; // [0.99609375]
//	storage[123] =  11'b11101011000; // [0.91796875]
//	storage[124] =  11'b11111110000; // [0.9921875]
//	storage[125] =  11'b11111111000; // [0.99609375]
//	storage[126] =  11'b11111111000; // [0.99609375]
//	storage[127] =  11'b11111111000; // [0.99609375]
//	storage[128] =  11'b11111111000; // [0.99609375]
//	storage[129] =  11'b11111111000; // [0.99609375]
//	storage[130] =  11'b11111111000; // [0.99609375]
//	storage[131] =  11'b11111111000; // [0.99609375]
//	storage[132] =  11'b11111111000; // [0.99609375]
//	storage[133] =  11'b11111111000; // [0.99609375]
//	storage[134] =  11'b11111111000; // [0.99609375]
//	storage[135] =  11'b11111111000; // [0.99609375]
//	storage[136] =  11'b11111111000; // [0.99609375]
//	storage[137] =  11'b11111111000; // [0.99609375]
//	storage[138] =  11'b11111111000; // [0.99609375]
//	storage[139] =  11'b11111111000; // [0.99609375]
//	storage[140] =  11'b11111111000; // [0.99609375]
//	storage[141] =  11'b11111111000; // [0.99609375]
//	storage[142] =  11'b11111111000; // [0.99609375]
//	storage[143] =  11'b11111111000; // [0.99609375]
//	storage[144] =  11'b11111111000; // [0.99609375]
//	storage[145] =  11'b11111111000; // [0.99609375]
//	storage[146] =  11'b11111111000; // [0.99609375]
//	storage[147] =  11'b11111111000; // [0.99609375]
//	storage[148] =  11'b11111111000; // [0.99609375]
//	storage[149] =  11'b11111111000; // [0.99609375]
//	storage[150] =  11'b10111111000; // [0.74609375]
//	storage[151] =  11'b00000001000; // [0.00390625]
//	storage[152] =  11'b00011010000; // [0.1015625]
//	storage[153] =  11'b10010001000; // [0.56640625]
//	storage[154] =  11'b11111001000; // [0.97265625]
//	storage[155] =  11'b11111111000; // [0.99609375]
//	storage[156] =  11'b11111111000; // [0.99609375]
//	storage[157] =  11'b11111111000; // [0.99609375]
//	storage[158] =  11'b11111111000; // [0.99609375]
//	storage[159] =  11'b11111111000; // [0.99609375]
//	storage[160] =  11'b11111111000; // [0.99609375]
//	storage[161] =  11'b11111111000; // [0.99609375]
//	storage[162] =  11'b11111111000; // [0.99609375]
//	storage[163] =  11'b11111111000; // [0.99609375]
//	storage[164] =  11'b11111111000; // [0.99609375]
//	storage[165] =  11'b11111111000; // [0.99609375]
//	storage[166] =  11'b11111111000; // [0.99609375]
//	storage[167] =  11'b11111111000; // [0.99609375]
//	storage[168] =  11'b11111111000; // [0.99609375]
//	storage[169] =  11'b11111111000; // [0.99609375]
//	storage[170] =  11'b11111111000; // [0.99609375]
//	storage[171] =  11'b11111111000; // [0.99609375]
//	storage[172] =  11'b11111111000; // [0.99609375]
//	storage[173] =  11'b11111111000; // [0.99609375]
//	storage[174] =  11'b11111111000; // [0.99609375]
//	storage[175] =  11'b11111111000; // [0.99609375]
//	storage[176] =  11'b11111111000; // [0.99609375]
//	storage[177] =  11'b11111111000; // [0.99609375]
//	storage[178] =  11'b11011110000; // [0.8671875]
//	storage[179] =  11'b00011001000; // [0.09765625]
//	storage[180] =  11'b00000000000; // [0.]
//	storage[181] =  11'b00000000000; // [0.]
//	storage[182] =  11'b00101000000; // [0.15625]
//	storage[183] =  11'b11000111000; // [0.77734375]
//	storage[184] =  11'b11111111000; // [0.99609375]
//	storage[185] =  11'b11111111000; // [0.99609375]
//	storage[186] =  11'b11111111000; // [0.99609375]
//	storage[187] =  11'b11111111000; // [0.99609375]
//	storage[188] =  11'b11111111000; // [0.99609375]
//	storage[189] =  11'b11111111000; // [0.99609375]
//	storage[190] =  11'b11111111000; // [0.99609375]
//	storage[191] =  11'b11111111000; // [0.99609375]
//	storage[192] =  11'b11111111000; // [0.99609375]
//	storage[193] =  11'b11111111000; // [0.99609375]
//	storage[194] =  11'b11111111000; // [0.99609375]
//	storage[195] =  11'b11111111000; // [0.99609375]
//	storage[196] =  11'b11111111000; // [0.99609375]
//	storage[197] =  11'b11111111000; // [0.99609375]
//	storage[198] =  11'b11111111000; // [0.99609375]
//	storage[199] =  11'b11111111000; // [0.99609375]
//	storage[200] =  11'b11111111000; // [0.99609375]
//	storage[201] =  11'b11111111000; // [0.99609375]
//	storage[202] =  11'b11111111000; // [0.99609375]
//	storage[203] =  11'b11111111000; // [0.99609375]
//	storage[204] =  11'b11111111000; // [0.99609375]
//	storage[205] =  11'b11111111000; // [0.99609375]
//	storage[206] =  11'b11111111000; // [0.99609375]
//	storage[207] =  11'b11110111000; // [0.96484375]
//	storage[208] =  11'b10000000000; // [0.5]
//	storage[209] =  11'b00000101000; // [0.01953125]
//	storage[210] =  11'b00000000000; // [0.]
//	storage[211] =  11'b00000111000; // [0.02734375]
//	storage[212] =  11'b10110001000; // [0.69140625]
//	storage[213] =  11'b11111111000; // [0.99609375]
//	storage[214] =  11'b11111111000; // [0.99609375]
//	storage[215] =  11'b11111111000; // [0.99609375]
//	storage[216] =  11'b11111111000; // [0.99609375]
//	storage[217] =  11'b11111111000; // [0.99609375]
//	storage[218] =  11'b11111111000; // [0.99609375]
//	storage[219] =  11'b11111111000; // [0.99609375]
//	storage[220] =  11'b11111111000; // [0.99609375]
//	storage[221] =  11'b11111111000; // [0.99609375]
//	storage[222] =  11'b11111111000; // [0.99609375]
//	storage[223] =  11'b11111111000; // [0.99609375]
//	storage[224] =  11'b11111111000; // [0.99609375]
//	storage[225] =  11'b11111111000; // [0.99609375]
//	storage[226] =  11'b11111111000; // [0.99609375]
//	storage[227] =  11'b11111111000; // [0.99609375]
//	storage[228] =  11'b11111111000; // [0.99609375]
//	storage[229] =  11'b11111111000; // [0.99609375]
//	storage[230] =  11'b11111111000; // [0.99609375]
//	storage[231] =  11'b11111111000; // [0.99609375]
//	storage[232] =  11'b11111111000; // [0.99609375]
//	storage[233] =  11'b11111111000; // [0.99609375]
//	storage[234] =  11'b11111111000; // [0.99609375]
//	storage[235] =  11'b11111111000; // [0.99609375]
//	storage[236] =  11'b11111111000; // [0.99609375]
//	storage[237] =  11'b11001001000; // [0.78515625]
//	storage[238] =  11'b00011101000; // [0.11328125]
//	storage[239] =  11'b00000000000; // [0.]
//	storage[240] =  11'b00001000000; // [0.03125]
//	storage[241] =  11'b11100011000; // [0.88671875]
//	storage[242] =  11'b11111111000; // [0.99609375]
//	storage[243] =  11'b11111111000; // [0.99609375]
//	storage[244] =  11'b11111111000; // [0.99609375]
//	storage[245] =  11'b11111111000; // [0.99609375]
//	storage[246] =  11'b11111111000; // [0.99609375]
//	storage[247] =  11'b11111111000; // [0.99609375]
//	storage[248] =  11'b11111111000; // [0.99609375]
//	storage[249] =  11'b11111111000; // [0.99609375]
//	storage[250] =  11'b11111111000; // [0.99609375]
//	storage[251] =  11'b11111111000; // [0.99609375]
//	storage[252] =  11'b11111111000; // [0.99609375]
//	storage[253] =  11'b11111111000; // [0.99609375]
//	storage[254] =  11'b11111111000; // [0.99609375]
//	storage[255] =  11'b11111111000; // [0.99609375]
//	storage[256] =  11'b11111111000; // [0.99609375]
//	storage[257] =  11'b11111111000; // [0.99609375]
//	storage[258] =  11'b11111111000; // [0.99609375]
//	storage[259] =  11'b11111111000; // [0.99609375]
//	storage[260] =  11'b11111111000; // [0.99609375]
//	storage[261] =  11'b11111111000; // [0.99609375]
//	storage[262] =  11'b11111111000; // [0.99609375]
//	storage[263] =  11'b11111111000; // [0.99609375]
//	storage[264] =  11'b11111111000; // [0.99609375]
//	storage[265] =  11'b11111111000; // [0.99609375]
//	storage[266] =  11'b11100010000; // [0.8828125]
//	storage[267] =  11'b00011001000; // [0.09765625]
//	storage[268] =  11'b00000000000; // [0.]
//	storage[269] =  11'b10010100000; // [0.578125]
//	storage[270] =  11'b11111111000; // [0.99609375]
//	storage[271] =  11'b11111111000; // [0.99609375]
//	storage[272] =  11'b11111111000; // [0.99609375]
//	storage[273] =  11'b11111111000; // [0.99609375]
//	storage[274] =  11'b11111111000; // [0.99609375]
//	storage[275] =  11'b11111111000; // [0.99609375]
//	storage[276] =  11'b11111111000; // [0.99609375]
//	storage[277] =  11'b11111111000; // [0.99609375]
//	storage[278] =  11'b11111111000; // [0.99609375]
//	storage[279] =  11'b11111111000; // [0.99609375]
//	storage[280] =  11'b11111111000; // [0.99609375]
//	storage[281] =  11'b11111111000; // [0.99609375]
//	storage[282] =  11'b11111111000; // [0.99609375]
//	storage[283] =  11'b11111111000; // [0.99609375]
//	storage[284] =  11'b11111111000; // [0.99609375]
//	storage[285] =  11'b11111111000; // [0.99609375]
//	storage[286] =  11'b11111111000; // [0.99609375]
//	storage[287] =  11'b11111111000; // [0.99609375]
//	storage[288] =  11'b11111111000; // [0.99609375]
//	storage[289] =  11'b11111111000; // [0.99609375]
//	storage[290] =  11'b11111111000; // [0.99609375]
//	storage[291] =  11'b11111111000; // [0.99609375]
//	storage[292] =  11'b11111111000; // [0.99609375]
//	storage[293] =  11'b11111111000; // [0.99609375]
//	storage[294] =  11'b11110010000; // [0.9453125]
//	storage[295] =  11'b00110010000; // [0.1953125]
//	storage[296] =  11'b00000000000; // [0.]
//	storage[297] =  11'b10010111000; // [0.58984375]
//	storage[298] =  11'b11111111000; // [0.99609375]
//	storage[299] =  11'b11111111000; // [0.99609375]
//	storage[300] =  11'b11111111000; // [0.99609375]
//	storage[301] =  11'b11111111000; // [0.99609375]
//	storage[302] =  11'b11111111000; // [0.99609375]
//	storage[303] =  11'b11111111000; // [0.99609375]
//	storage[304] =  11'b11111111000; // [0.99609375]
//	storage[305] =  11'b11111111000; // [0.99609375]
//	storage[306] =  11'b11111111000; // [0.99609375]
//	storage[307] =  11'b11111111000; // [0.99609375]
//	storage[308] =  11'b11111111000; // [0.99609375]
//	storage[309] =  11'b11111111000; // [0.99609375]
//	storage[310] =  11'b11111111000; // [0.99609375]
//	storage[311] =  11'b11111111000; // [0.99609375]
//	storage[312] =  11'b11111111000; // [0.99609375]
//	storage[313] =  11'b11111111000; // [0.99609375]
//	storage[314] =  11'b11111111000; // [0.99609375]
//	storage[315] =  11'b11111111000; // [0.99609375]
//	storage[316] =  11'b11111111000; // [0.99609375]
//	storage[317] =  11'b11111111000; // [0.99609375]
//	storage[318] =  11'b11111111000; // [0.99609375]
//	storage[319] =  11'b11111111000; // [0.99609375]
//	storage[320] =  11'b11111111000; // [0.99609375]
//	storage[321] =  11'b10110110000; // [0.7109375]
//	storage[322] =  11'b00100111000; // [0.15234375]
//	storage[323] =  11'b00000000000; // [0.]
//	storage[324] =  11'b00101000000; // [0.15625]
//	storage[325] =  11'b11110101000; // [0.95703125]
//	storage[326] =  11'b11111111000; // [0.99609375]
//	storage[327] =  11'b11111111000; // [0.99609375]
//	storage[328] =  11'b11111111000; // [0.99609375]
//	storage[329] =  11'b11111111000; // [0.99609375]
//	storage[330] =  11'b11111111000; // [0.99609375]
//	storage[331] =  11'b11111111000; // [0.99609375]
//	storage[332] =  11'b11111111000; // [0.99609375]
//	storage[333] =  11'b11111111000; // [0.99609375]
//	storage[334] =  11'b11111111000; // [0.99609375]
//	storage[335] =  11'b11111111000; // [0.99609375]
//	storage[336] =  11'b11111111000; // [0.99609375]
//	storage[337] =  11'b11111111000; // [0.99609375]
//	storage[338] =  11'b11111111000; // [0.99609375]
//	storage[339] =  11'b11111111000; // [0.99609375]
//	storage[340] =  11'b11111111000; // [0.99609375]
//	storage[341] =  11'b11111111000; // [0.99609375]
//	storage[342] =  11'b11111111000; // [0.99609375]
//	storage[343] =  11'b11111111000; // [0.99609375]
//	storage[344] =  11'b11111111000; // [0.99609375]
//	storage[345] =  11'b11111111000; // [0.99609375]
//	storage[346] =  11'b10101101000; // [0.67578125]
//	storage[347] =  11'b01100111000; // [0.40234375]
//	storage[348] =  11'b00110110000; // [0.2109375]
//	storage[349] =  11'b00000000000; // [0.]
//	storage[350] =  11'b00000000000; // [0.]
//	storage[351] =  11'b00111010000; // [0.2265625]
//	storage[352] =  11'b11100100000; // [0.890625]
//	storage[353] =  11'b11111111000; // [0.99609375]
//	storage[354] =  11'b11111111000; // [0.99609375]
//	storage[355] =  11'b11111111000; // [0.99609375]
//	storage[356] =  11'b11111111000; // [0.99609375]
//	storage[357] =  11'b11111111000; // [0.99609375]
//	storage[358] =  11'b11111111000; // [0.99609375]
//	storage[359] =  11'b11111111000; // [0.99609375]
//	storage[360] =  11'b11111111000; // [0.99609375]
//	storage[361] =  11'b11111111000; // [0.99609375]
//	storage[362] =  11'b11111111000; // [0.99609375]
//	storage[363] =  11'b11111111000; // [0.99609375]
//	storage[364] =  11'b11111111000; // [0.99609375]
//	storage[365] =  11'b11111111000; // [0.99609375]
//	storage[366] =  11'b11111111000; // [0.99609375]
//	storage[367] =  11'b11111111000; // [0.99609375]
//	storage[368] =  11'b11111111000; // [0.99609375]
//	storage[369] =  11'b11111111000; // [0.99609375]
//	storage[370] =  11'b11111111000; // [0.99609375]
//	storage[371] =  11'b11111111000; // [0.99609375]
//	storage[372] =  11'b11111111000; // [0.99609375]
//	storage[373] =  11'b11111111000; // [0.99609375]
//	storage[374] =  11'b00001011000; // [0.04296875]
//	storage[375] =  11'b00000000000; // [0.]
//	storage[376] =  11'b00000000000; // [0.]
//	storage[377] =  11'b00000000000; // [0.]
//	storage[378] =  11'b00000000000; // [0.]
//	storage[379] =  11'b00001100000; // [0.046875]
//	storage[380] =  11'b01111001000; // [0.47265625]
//	storage[381] =  11'b11111010000; // [0.9765625]
//	storage[382] =  11'b11111111000; // [0.99609375]
//	storage[383] =  11'b11111111000; // [0.99609375]
//	storage[384] =  11'b11111111000; // [0.99609375]
//	storage[385] =  11'b11111111000; // [0.99609375]
//	storage[386] =  11'b11111111000; // [0.99609375]
//	storage[387] =  11'b11111111000; // [0.99609375]
//	storage[388] =  11'b11111111000; // [0.99609375]
//	storage[389] =  11'b11111111000; // [0.99609375]
//	storage[390] =  11'b11111111000; // [0.99609375]
//	storage[391] =  11'b11111111000; // [0.99609375]
//	storage[392] =  11'b11111111000; // [0.99609375]
//	storage[393] =  11'b11111111000; // [0.99609375]
//	storage[394] =  11'b11111111000; // [0.99609375]
//	storage[395] =  11'b11111111000; // [0.99609375]
//	storage[396] =  11'b11111111000; // [0.99609375]
//	storage[397] =  11'b11111111000; // [0.99609375]
//	storage[398] =  11'b11111111000; // [0.99609375]
//	storage[399] =  11'b11111111000; // [0.99609375]
//	storage[400] =  11'b11111111000; // [0.99609375]
//	storage[401] =  11'b11111111000; // [0.99609375]
//	storage[402] =  11'b11001001000; // [0.78515625]
//	storage[403] =  11'b10011001000; // [0.59765625]
//	storage[404] =  11'b01110111000; // [0.46484375]
//	storage[405] =  11'b01001010000; // [0.2890625]
//	storage[406] =  11'b00001011000; // [0.04296875]
//	storage[407] =  11'b00000000000; // [0.]
//	storage[408] =  11'b00000000000; // [0.]
//	storage[409] =  11'b01101010000; // [0.4140625]
//	storage[410] =  11'b11111111000; // [0.99609375]
//	storage[411] =  11'b11111111000; // [0.99609375]
//	storage[412] =  11'b11111111000; // [0.99609375]
//	storage[413] =  11'b11111111000; // [0.99609375]
//	storage[414] =  11'b11111111000; // [0.99609375]
//	storage[415] =  11'b11111111000; // [0.99609375]
//	storage[416] =  11'b11111111000; // [0.99609375]
//	storage[417] =  11'b11111111000; // [0.99609375]
//	storage[418] =  11'b11111111000; // [0.99609375]
//	storage[419] =  11'b11111111000; // [0.99609375]
//	storage[420] =  11'b11111111000; // [0.99609375]
//	storage[421] =  11'b11111111000; // [0.99609375]
//	storage[422] =  11'b11111111000; // [0.99609375]
//	storage[423] =  11'b11111111000; // [0.99609375]
//	storage[424] =  11'b11111111000; // [0.99609375]
//	storage[425] =  11'b11111111000; // [0.99609375]
//	storage[426] =  11'b11111111000; // [0.99609375]
//	storage[427] =  11'b11111111000; // [0.99609375]
//	storage[428] =  11'b11111111000; // [0.99609375]
//	storage[429] =  11'b11111111000; // [0.99609375]
//	storage[430] =  11'b11111111000; // [0.99609375]
//	storage[431] =  11'b11111111000; // [0.99609375]
//	storage[432] =  11'b11111111000; // [0.99609375]
//	storage[433] =  11'b11111111000; // [0.99609375]
//	storage[434] =  11'b11110101000; // [0.95703125]
//	storage[435] =  11'b01111000000; // [0.46875]
//	storage[436] =  11'b00000000000; // [0.]
//	storage[437] =  11'b00000001000; // [0.00390625]
//	storage[438] =  11'b11011000000; // [0.84375]
//	storage[439] =  11'b11111111000; // [0.99609375]
//	storage[440] =  11'b11111111000; // [0.99609375]
//	storage[441] =  11'b11111111000; // [0.99609375]
//	storage[442] =  11'b11111111000; // [0.99609375]
//	storage[443] =  11'b11111111000; // [0.99609375]
//	storage[444] =  11'b11111111000; // [0.99609375]
//	storage[445] =  11'b11111111000; // [0.99609375]
//	storage[446] =  11'b11111111000; // [0.99609375]
//	storage[447] =  11'b11111111000; // [0.99609375]
//	storage[448] =  11'b11111111000; // [0.99609375]
//	storage[449] =  11'b11111111000; // [0.99609375]
//	storage[450] =  11'b11111111000; // [0.99609375]
//	storage[451] =  11'b11111111000; // [0.99609375]
//	storage[452] =  11'b11111111000; // [0.99609375]
//	storage[453] =  11'b11111111000; // [0.99609375]
//	storage[454] =  11'b11111111000; // [0.99609375]
//	storage[455] =  11'b11111111000; // [0.99609375]
//	storage[456] =  11'b11111111000; // [0.99609375]
//	storage[457] =  11'b11111111000; // [0.99609375]
//	storage[458] =  11'b11111111000; // [0.99609375]
//	storage[459] =  11'b11111111000; // [0.99609375]
//	storage[460] =  11'b11111111000; // [0.99609375]
//	storage[461] =  11'b11111111000; // [0.99609375]
//	storage[462] =  11'b11111111000; // [0.99609375]
//	storage[463] =  11'b11111111000; // [0.99609375]
//	storage[464] =  11'b00100101000; // [0.14453125]
//	storage[465] =  11'b00000000000; // [0.]
//	storage[466] =  11'b10011001000; // [0.59765625]
//	storage[467] =  11'b11111111000; // [0.99609375]
//	storage[468] =  11'b11111111000; // [0.99609375]
//	storage[469] =  11'b11111111000; // [0.99609375]
//	storage[470] =  11'b11111111000; // [0.99609375]
//	storage[471] =  11'b11111111000; // [0.99609375]
//	storage[472] =  11'b11111111000; // [0.99609375]
//	storage[473] =  11'b11111111000; // [0.99609375]
//	storage[474] =  11'b11111111000; // [0.99609375]
//	storage[475] =  11'b11111111000; // [0.99609375]
//	storage[476] =  11'b11111111000; // [0.99609375]
//	storage[477] =  11'b11111111000; // [0.99609375]
//	storage[478] =  11'b11111111000; // [0.99609375]
//	storage[479] =  11'b11111111000; // [0.99609375]
//	storage[480] =  11'b11111111000; // [0.99609375]
//	storage[481] =  11'b11111111000; // [0.99609375]
//	storage[482] =  11'b11111111000; // [0.99609375]
//	storage[483] =  11'b11111111000; // [0.99609375]
//	storage[484] =  11'b11111111000; // [0.99609375]
//	storage[485] =  11'b11111111000; // [0.99609375]
//	storage[486] =  11'b11111111000; // [0.99609375]
//	storage[487] =  11'b11111111000; // [0.99609375]
//	storage[488] =  11'b11111111000; // [0.99609375]
//	storage[489] =  11'b11111111000; // [0.99609375]
//	storage[490] =  11'b11111111000; // [0.99609375]
//	storage[491] =  11'b11111111000; // [0.99609375]
//	storage[492] =  11'b00110101000; // [0.20703125]
//	storage[493] =  11'b00000000000; // [0.]
//	storage[494] =  11'b10001001000; // [0.53515625]
//	storage[495] =  11'b11111111000; // [0.99609375]
//	storage[496] =  11'b11111111000; // [0.99609375]
//	storage[497] =  11'b11111111000; // [0.99609375]
//	storage[498] =  11'b11111111000; // [0.99609375]
//	storage[499] =  11'b11111111000; // [0.99609375]
//	storage[500] =  11'b11111111000; // [0.99609375]
//	storage[501] =  11'b11111111000; // [0.99609375]
//	storage[502] =  11'b11111111000; // [0.99609375]
//	storage[503] =  11'b11111111000; // [0.99609375]
//	storage[504] =  11'b11111111000; // [0.99609375]
//	storage[505] =  11'b11111111000; // [0.99609375]
//	storage[506] =  11'b11111111000; // [0.99609375]
//	storage[507] =  11'b11111111000; // [0.99609375]
//	storage[508] =  11'b11111111000; // [0.99609375]
//	storage[509] =  11'b11111111000; // [0.99609375]
//	storage[510] =  11'b11111111000; // [0.99609375]
//	storage[511] =  11'b11111111000; // [0.99609375]
//	storage[512] =  11'b11111111000; // [0.99609375]
//	storage[513] =  11'b11111111000; // [0.99609375]
//	storage[514] =  11'b11111111000; // [0.99609375]
//	storage[515] =  11'b11111111000; // [0.99609375]
//	storage[516] =  11'b11111111000; // [0.99609375]
//	storage[517] =  11'b11111111000; // [0.99609375]
//	storage[518] =  11'b11111111000; // [0.99609375]
//	storage[519] =  11'b11110111000; // [0.96484375]
//	storage[520] =  11'b00001010000; // [0.0390625]
//	storage[521] =  11'b00000000000; // [0.]
//	storage[522] =  11'b10100100000; // [0.640625]
//	storage[523] =  11'b11111111000; // [0.99609375]
//	storage[524] =  11'b11111111000; // [0.99609375]
//	storage[525] =  11'b11111111000; // [0.99609375]
//	storage[526] =  11'b11111111000; // [0.99609375]
//	storage[527] =  11'b11111111000; // [0.99609375]
//	storage[528] =  11'b11111111000; // [0.99609375]
//	storage[529] =  11'b11111111000; // [0.99609375]
//	storage[530] =  11'b11111111000; // [0.99609375]
//	storage[531] =  11'b11111111000; // [0.99609375]
//	storage[532] =  11'b11111111000; // [0.99609375]
//	storage[533] =  11'b11111111000; // [0.99609375]
//	storage[534] =  11'b11111111000; // [0.99609375]
//	storage[535] =  11'b11111111000; // [0.99609375]
//	storage[536] =  11'b11111111000; // [0.99609375]
//	storage[537] =  11'b11111111000; // [0.99609375]
//	storage[538] =  11'b11111111000; // [0.99609375]
//	storage[539] =  11'b11111111000; // [0.99609375]
//	storage[540] =  11'b11111111000; // [0.99609375]
//	storage[541] =  11'b11111111000; // [0.99609375]
//	storage[542] =  11'b11111111000; // [0.99609375]
//	storage[543] =  11'b11111111000; // [0.99609375]
//	storage[544] =  11'b11111111000; // [0.99609375]
//	storage[545] =  11'b11111111000; // [0.99609375]
//	storage[546] =  11'b11111111000; // [0.99609375]
//	storage[547] =  11'b10011101000; // [0.61328125]
//	storage[548] =  11'b00000000000; // [0.]
//	storage[549] =  11'b00000000000; // [0.]
//	storage[550] =  11'b11100001000; // [0.87890625]
//	storage[551] =  11'b11111111000; // [0.99609375]
//	storage[552] =  11'b11111111000; // [0.99609375]
//	storage[553] =  11'b11111111000; // [0.99609375]
//	storage[554] =  11'b11111111000; // [0.99609375]
//	storage[555] =  11'b11111111000; // [0.99609375]
//	storage[556] =  11'b11111111000; // [0.99609375]
//	storage[557] =  11'b11111111000; // [0.99609375]
//	storage[558] =  11'b11111111000; // [0.99609375]
//	storage[559] =  11'b11111111000; // [0.99609375]
//	storage[560] =  11'b11111111000; // [0.99609375]
//	storage[561] =  11'b11111111000; // [0.99609375]
//	storage[562] =  11'b11111111000; // [0.99609375]
//	storage[563] =  11'b11111111000; // [0.99609375]
//	storage[564] =  11'b11111111000; // [0.99609375]
//	storage[565] =  11'b11111111000; // [0.99609375]
//	storage[566] =  11'b11111111000; // [0.99609375]
//	storage[567] =  11'b10111000000; // [0.71875]
//	storage[568] =  11'b01010100000; // [0.328125]
//	storage[569] =  11'b10001001000; // [0.53515625]
//	storage[570] =  11'b11001010000; // [0.7890625]
//	storage[571] =  11'b11111010000; // [0.9765625]
//	storage[572] =  11'b11111111000; // [0.99609375]
//	storage[573] =  11'b11111101000; // [0.98828125]
//	storage[574] =  11'b10111110000; // [0.7421875]
//	storage[575] =  11'b00010110000; // [0.0859375]
//	storage[576] =  11'b00000000000; // [0.]
//	storage[577] =  11'b01000101000; // [0.26953125]
//	storage[578] =  11'b11111111000; // [0.99609375]
//	storage[579] =  11'b11111111000; // [0.99609375]
//	storage[580] =  11'b11111111000; // [0.99609375]
//	storage[581] =  11'b11111111000; // [0.99609375]
//	storage[582] =  11'b11111111000; // [0.99609375]
//	storage[583] =  11'b11111111000; // [0.99609375]
//	storage[584] =  11'b11111111000; // [0.99609375]
//	storage[585] =  11'b11111111000; // [0.99609375]
//	storage[586] =  11'b11111111000; // [0.99609375]
//	storage[587] =  11'b11111111000; // [0.99609375]
//	storage[588] =  11'b11111111000; // [0.99609375]
//	storage[589] =  11'b11111111000; // [0.99609375]
//	storage[590] =  11'b11111111000; // [0.99609375]
//	storage[591] =  11'b11111111000; // [0.99609375]
//	storage[592] =  11'b11111111000; // [0.99609375]
//	storage[593] =  11'b11111111000; // [0.99609375]
//	storage[594] =  11'b11111111000; // [0.99609375]
//	storage[595] =  11'b01011110000; // [0.3671875]
//	storage[596] =  11'b00000000000; // [0.]
//	storage[597] =  11'b00000000000; // [0.]
//	storage[598] =  11'b00000000000; // [0.]
//	storage[599] =  11'b00000000000; // [0.]
//	storage[600] =  11'b00001101000; // [0.05078125]
//	storage[601] =  11'b00000101000; // [0.01953125]
//	storage[602] =  11'b00000000000; // [0.]
//	storage[603] =  11'b00000000000; // [0.]
//	storage[604] =  11'b00000111000; // [0.02734375]
//	storage[605] =  11'b11010001000; // [0.81640625]
//	storage[606] =  11'b11111111000; // [0.99609375]
//	storage[607] =  11'b11111111000; // [0.99609375]
//	storage[608] =  11'b11111111000; // [0.99609375]
//	storage[609] =  11'b11111111000; // [0.99609375]
//	storage[610] =  11'b11111111000; // [0.99609375]
//	storage[611] =  11'b11111111000; // [0.99609375]
//	storage[612] =  11'b11111111000; // [0.99609375]
//	storage[613] =  11'b11111111000; // [0.99609375]
//	storage[614] =  11'b11111111000; // [0.99609375]
//	storage[615] =  11'b11111111000; // [0.99609375]
//	storage[616] =  11'b11111111000; // [0.99609375]
//	storage[617] =  11'b11111111000; // [0.99609375]
//	storage[618] =  11'b11111111000; // [0.99609375]
//	storage[619] =  11'b11111111000; // [0.99609375]
//	storage[620] =  11'b11111111000; // [0.99609375]
//	storage[621] =  11'b11111111000; // [0.99609375]
//	storage[622] =  11'b11111111000; // [0.99609375]
//	storage[623] =  11'b11011011000; // [0.85546875]
//	storage[624] =  11'b00110010000; // [0.1953125]
//	storage[625] =  11'b00000000000; // [0.]
//	storage[626] =  11'b00000000000; // [0.]
//	storage[627] =  11'b00000000000; // [0.]
//	storage[628] =  11'b00000000000; // [0.]
//	storage[629] =  11'b00000000000; // [0.]
//	storage[630] =  11'b00000000000; // [0.]
//	storage[631] =  11'b00001110000; // [0.0546875]
//	storage[632] =  11'b10101111000; // [0.68359375]
//	storage[633] =  11'b11111111000; // [0.99609375]
//	storage[634] =  11'b11111111000; // [0.99609375]
//	storage[635] =  11'b11111111000; // [0.99609375]
//	storage[636] =  11'b11111111000; // [0.99609375]
//	storage[637] =  11'b11111111000; // [0.99609375]
//	storage[638] =  11'b11111111000; // [0.99609375]
//	storage[639] =  11'b11111111000; // [0.99609375]
//	storage[640] =  11'b11111111000; // [0.99609375]
//	storage[641] =  11'b11111111000; // [0.99609375]
//	storage[642] =  11'b11111111000; // [0.99609375]
//	storage[643] =  11'b11111111000; // [0.99609375]
//	storage[644] =  11'b11111111000; // [0.99609375]
//	storage[645] =  11'b11111111000; // [0.99609375]
//	storage[646] =  11'b11111111000; // [0.99609375]
//	storage[647] =  11'b11111111000; // [0.99609375]
//	storage[648] =  11'b11111111000; // [0.99609375]
//	storage[649] =  11'b11111111000; // [0.99609375]
//	storage[650] =  11'b11111111000; // [0.99609375]
//	storage[651] =  11'b11111111000; // [0.99609375]
//	storage[652] =  11'b11111110000; // [0.9921875]
//	storage[653] =  11'b10111111000; // [0.74609375]
//	storage[654] =  11'b10000000000; // [0.5]
//	storage[655] =  11'b01010111000; // [0.33984375]
//	storage[656] =  11'b01010000000; // [0.3125]
//	storage[657] =  11'b01100010000; // [0.3828125]
//	storage[658] =  11'b10010111000; // [0.58984375]
//	storage[659] =  11'b11101101000; // [0.92578125]
//	storage[660] =  11'b11111111000; // [0.99609375]
//	storage[661] =  11'b11111111000; // [0.99609375]
//	storage[662] =  11'b11111111000; // [0.99609375]
//	storage[663] =  11'b11111111000; // [0.99609375]
//	storage[664] =  11'b11111111000; // [0.99609375]
//	storage[665] =  11'b11111111000; // [0.99609375]
//	storage[666] =  11'b11111111000; // [0.99609375]
//	storage[667] =  11'b11111111000; // [0.99609375]
//	storage[668] =  11'b11111111000; // [0.99609375]
//	storage[669] =  11'b11111111000; // [0.99609375]
//	storage[670] =  11'b11111111000; // [0.99609375]
//	storage[671] =  11'b11111111000; // [0.99609375]
//	storage[672] =  11'b11111111000; // [0.99609375]
//	storage[673] =  11'b11111111000; // [0.99609375]
//	storage[674] =  11'b11111111000; // [0.99609375]
//	storage[675] =  11'b11111111000; // [0.99609375]
//	storage[676] =  11'b11111111000; // [0.99609375]
//	storage[677] =  11'b11111111000; // [0.99609375]
//	storage[678] =  11'b11111111000; // [0.99609375]
//	storage[679] =  11'b11111111000; // [0.99609375]
//	storage[680] =  11'b11111111000; // [0.99609375]
//	storage[681] =  11'b11111111000; // [0.99609375]
//	storage[682] =  11'b11111111000; // [0.99609375]
//	storage[683] =  11'b11111111000; // [0.99609375]
//	storage[684] =  11'b11111111000; // [0.99609375]
//	storage[685] =  11'b11111111000; // [0.99609375]
//	storage[686] =  11'b11111111000; // [0.99609375]
//	storage[687] =  11'b11111111000; // [0.99609375]
//	storage[688] =  11'b11111111000; // [0.99609375]
//	storage[689] =  11'b11111111000; // [0.99609375]
//	storage[690] =  11'b11111111000; // [0.99609375]
//	storage[691] =  11'b11111111000; // [0.99609375]
//	storage[692] =  11'b11111111000; // [0.99609375]
//	storage[693] =  11'b11111111000; // [0.99609375]
//	storage[694] =  11'b11111111000; // [0.99609375]
//	storage[695] =  11'b11111111000; // [0.99609375]
//	storage[696] =  11'b11111111000; // [0.99609375]
//	storage[697] =  11'b11111111000; // [0.99609375]
//	storage[698] =  11'b11111111000; // [0.99609375]
//	storage[699] =  11'b11111111000; // [0.99609375]
//	storage[700] =  11'b11111111000; // [0.99609375]
//	storage[701] =  11'b11111111000; // [0.99609375]
//	storage[702] =  11'b11111111000; // [0.99609375]
//	storage[703] =  11'b11111111000; // [0.99609375]
//	storage[704] =  11'b11111111000; // [0.99609375]
//	storage[705] =  11'b11111111000; // [0.99609375]
//	storage[706] =  11'b11111111000; // [0.99609375]
//	storage[707] =  11'b11111111000; // [0.99609375]
//	storage[708] =  11'b11111111000; // [0.99609375]
//	storage[709] =  11'b11111111000; // [0.99609375]
//	storage[710] =  11'b11111111000; // [0.99609375]
//	storage[711] =  11'b11111111000; // [0.99609375]
//	storage[712] =  11'b11111111000; // [0.99609375]
//	storage[713] =  11'b11111111000; // [0.99609375]
//	storage[714] =  11'b11111111000; // [0.99609375]
//	storage[715] =  11'b11111111000; // [0.99609375]
//	storage[716] =  11'b11111111000; // [0.99609375]
//	storage[717] =  11'b11111111000; // [0.99609375]
//	storage[718] =  11'b11111111000; // [0.99609375]
//	storage[719] =  11'b11111111000; // [0.99609375]
//	storage[720] =  11'b11111111000; // [0.99609375]
//	storage[721] =  11'b11111111000; // [0.99609375]
//	storage[722] =  11'b11111111000; // [0.99609375]
//	storage[723] =  11'b11111111000; // [0.99609375]
//	storage[724] =  11'b11111111000; // [0.99609375]
//	storage[725] =  11'b11111111000; // [0.99609375]
//	storage[726] =  11'b11111111000; // [0.99609375]
//	storage[727] =  11'b11111111000; // [0.99609375]
//	storage[728] =  11'b11111111000; // [0.99609375]
//	storage[729] =  11'b11111111000; // [0.99609375]
//	storage[730] =  11'b11111111000; // [0.99609375]
//	storage[731] =  11'b11111111000; // [0.99609375]
//	storage[732] =  11'b11111111000; // [0.99609375]
//	storage[733] =  11'b11111111000; // [0.99609375]
//	storage[734] =  11'b11111111000; // [0.99609375]
//	storage[735] =  11'b11111111000; // [0.99609375]
//	storage[736] =  11'b11111111000; // [0.99609375]
//	storage[737] =  11'b11111111000; // [0.99609375]
//	storage[738] =  11'b11111111000; // [0.99609375]
//	storage[739] =  11'b11111111000; // [0.99609375]
//	storage[740] =  11'b11111111000; // [0.99609375]
//	storage[741] =  11'b11111111000; // [0.99609375]
//	storage[742] =  11'b11111111000; // [0.99609375]
//	storage[743] =  11'b11111111000; // [0.99609375]
//	storage[744] =  11'b11111111000; // [0.99609375]
//	storage[745] =  11'b11111111000; // [0.99609375]
//	storage[746] =  11'b11111111000; // [0.99609375]
//	storage[747] =  11'b11111111000; // [0.99609375]
//	storage[748] =  11'b11111111000; // [0.99609375]
//	storage[749] =  11'b11111111000; // [0.99609375]
//	storage[750] =  11'b11111111000; // [0.99609375]
//	storage[751] =  11'b11111111000; // [0.99609375]
//	storage[752] =  11'b11111111000; // [0.99609375]
//	storage[753] =  11'b11111111000; // [0.99609375]
//	storage[754] =  11'b11111111000; // [0.99609375]
//	storage[755] =  11'b11111111000; // [0.99609375]
//	storage[756] =  11'b11111111000; // [0.99609375]
//	storage[757] =  11'b11111111000; // [0.99609375]
//	storage[758] =  11'b11111111000; // [0.99609375]
//	storage[759] =  11'b11111111000; // [0.99609375]
//	storage[760] =  11'b11111111000; // [0.99609375]
//	storage[761] =  11'b11111111000; // [0.99609375]
//	storage[762] =  11'b11111111000; // [0.99609375]
//	storage[763] =  11'b11111111000; // [0.99609375]
//	storage[764] =  11'b11111111000; // [0.99609375]
//	storage[765] =  11'b11111111000; // [0.99609375]
//	storage[766] =  11'b11111111000; // [0.99609375]
//	storage[767] =  11'b11111111000; // [0.99609375]
//	storage[768] =  11'b11111111000; // [0.99609375]
//	storage[769] =  11'b11111111000; // [0.99609375]
//	storage[770] =  11'b11111111000; // [0.99609375]
//	storage[771] =  11'b11111111000; // [0.99609375]
//	storage[772] =  11'b11111111000; // [0.99609375]
//	storage[773] =  11'b11111111000; // [0.99609375]
//	storage[774] =  11'b11111111000; // [0.99609375]
//	storage[775] =  11'b11111111000; // [0.99609375]
//	storage[776] =  11'b11111111000; // [0.99609375]
//	storage[777] =  11'b11111111000; // [0.99609375]
//	storage[778] =  11'b11111111000; // [0.99609375]
//	storage[779] =  11'b11111111000; // [0.99609375]
//	storage[780] =  11'b11111111000; // [0.99609375]
//	storage[781] =  11'b11111111000; // [0.99609375]
//	storage[782] =  11'b11111111000; // [0.99609375]
//	storage[783] =  11'b11111111000; // [0.99609375]
//end
/*************
// Precision: 12
// Image size: 28x28
// Answer: 4
**************/
initial
begin
	storage[0] =  11'b11111111000; // [0.99609375]
	storage[1] =  11'b11111111000; // [0.99609375]
	storage[2] =  11'b11111111000; // [0.99609375]
	storage[3] =  11'b11111111000; // [0.99609375]
	storage[4] =  11'b11111111000; // [0.99609375]
	storage[5] =  11'b11111111000; // [0.99609375]
	storage[6] =  11'b11111111000; // [0.99609375]
	storage[7] =  11'b11111111000; // [0.99609375]
	storage[8] =  11'b11111111000; // [0.99609375]
	storage[9] =  11'b11111111000; // [0.99609375]
	storage[10] =  11'b11111111000; // [0.99609375]
	storage[11] =  11'b11111111000; // [0.99609375]
	storage[12] =  11'b11111111000; // [0.99609375]
	storage[13] =  11'b11111111000; // [0.99609375]
	storage[14] =  11'b11111111000; // [0.99609375]
	storage[15] =  11'b11111111000; // [0.99609375]
	storage[16] =  11'b11111111000; // [0.99609375]
	storage[17] =  11'b11111111000; // [0.99609375]
	storage[18] =  11'b11111111000; // [0.99609375]
	storage[19] =  11'b11111111000; // [0.99609375]
	storage[20] =  11'b11111111000; // [0.99609375]
	storage[21] =  11'b11111111000; // [0.99609375]
	storage[22] =  11'b11111111000; // [0.99609375]
	storage[23] =  11'b11111111000; // [0.99609375]
	storage[24] =  11'b11111111000; // [0.99609375]
	storage[25] =  11'b11111111000; // [0.99609375]
	storage[26] =  11'b11111111000; // [0.99609375]
	storage[27] =  11'b11111111000; // [0.99609375]
	storage[28] =  11'b11111111000; // [0.99609375]
	storage[29] =  11'b11111111000; // [0.99609375]
	storage[30] =  11'b11111111000; // [0.99609375]
	storage[31] =  11'b11111111000; // [0.99609375]
	storage[32] =  11'b11111111000; // [0.99609375]
	storage[33] =  11'b11111111000; // [0.99609375]
	storage[34] =  11'b11111111000; // [0.99609375]
	storage[35] =  11'b11111111000; // [0.99609375]
	storage[36] =  11'b11111111000; // [0.99609375]
	storage[37] =  11'b11111111000; // [0.99609375]
	storage[38] =  11'b11111111000; // [0.99609375]
	storage[39] =  11'b11111111000; // [0.99609375]
	storage[40] =  11'b11111111000; // [0.99609375]
	storage[41] =  11'b11111111000; // [0.99609375]
	storage[42] =  11'b11111111000; // [0.99609375]
	storage[43] =  11'b11111111000; // [0.99609375]
	storage[44] =  11'b11111111000; // [0.99609375]
	storage[45] =  11'b11111111000; // [0.99609375]
	storage[46] =  11'b11111111000; // [0.99609375]
	storage[47] =  11'b11111111000; // [0.99609375]
	storage[48] =  11'b11111111000; // [0.99609375]
	storage[49] =  11'b11111111000; // [0.99609375]
	storage[50] =  11'b11111111000; // [0.99609375]
	storage[51] =  11'b11111111000; // [0.99609375]
	storage[52] =  11'b11111111000; // [0.99609375]
	storage[53] =  11'b11111111000; // [0.99609375]
	storage[54] =  11'b11111111000; // [0.99609375]
	storage[55] =  11'b11111111000; // [0.99609375]
	storage[56] =  11'b11111111000; // [0.99609375]
	storage[57] =  11'b11111111000; // [0.99609375]
	storage[58] =  11'b11111111000; // [0.99609375]
	storage[59] =  11'b11111111000; // [0.99609375]
	storage[60] =  11'b11111111000; // [0.99609375]
	storage[61] =  11'b11111111000; // [0.99609375]
	storage[62] =  11'b11111111000; // [0.99609375]
	storage[63] =  11'b11111111000; // [0.99609375]
	storage[64] =  11'b11111111000; // [0.99609375]
	storage[65] =  11'b11111111000; // [0.99609375]
	storage[66] =  11'b11111111000; // [0.99609375]
	storage[67] =  11'b11111111000; // [0.99609375]
	storage[68] =  11'b11111111000; // [0.99609375]
	storage[69] =  11'b11111101000; // [0.98828125]
	storage[70] =  11'b01100011000; // [0.38671875]
	storage[71] =  11'b10111110000; // [0.7421875]
	storage[72] =  11'b11111111000; // [0.99609375]
	storage[73] =  11'b11111111000; // [0.99609375]
	storage[74] =  11'b11111111000; // [0.99609375]
	storage[75] =  11'b11111111000; // [0.99609375]
	storage[76] =  11'b11111111000; // [0.99609375]
	storage[77] =  11'b11111111000; // [0.99609375]
	storage[78] =  11'b11111111000; // [0.99609375]
	storage[79] =  11'b11111111000; // [0.99609375]
	storage[80] =  11'b11111111000; // [0.99609375]
	storage[81] =  11'b11111111000; // [0.99609375]
	storage[82] =  11'b11111111000; // [0.99609375]
	storage[83] =  11'b11111111000; // [0.99609375]
	storage[84] =  11'b11111111000; // [0.99609375]
	storage[85] =  11'b11111111000; // [0.99609375]
	storage[86] =  11'b11111111000; // [0.99609375]
	storage[87] =  11'b11111111000; // [0.99609375]
	storage[88] =  11'b11111111000; // [0.99609375]
	storage[89] =  11'b11111111000; // [0.99609375]
	storage[90] =  11'b11111111000; // [0.99609375]
	storage[91] =  11'b11111111000; // [0.99609375]
	storage[92] =  11'b11111111000; // [0.99609375]
	storage[93] =  11'b11111111000; // [0.99609375]
	storage[94] =  11'b11111100000; // [0.984375]
	storage[95] =  11'b10011010000; // [0.6015625]
	storage[96] =  11'b11010110000; // [0.8359375]
	storage[97] =  11'b11100001000; // [0.87890625]
	storage[98] =  11'b00000000000; // [0.]
	storage[99] =  11'b01000111000; // [0.27734375]
	storage[100] =  11'b11111111000; // [0.99609375]
	storage[101] =  11'b11111111000; // [0.99609375]
	storage[102] =  11'b11111111000; // [0.99609375]
	storage[103] =  11'b11111111000; // [0.99609375]
	storage[104] =  11'b11111111000; // [0.99609375]
	storage[105] =  11'b11111111000; // [0.99609375]
	storage[106] =  11'b11111111000; // [0.99609375]
	storage[107] =  11'b11111111000; // [0.99609375]
	storage[108] =  11'b11111111000; // [0.99609375]
	storage[109] =  11'b11111111000; // [0.99609375]
	storage[110] =  11'b11111111000; // [0.99609375]
	storage[111] =  11'b11111111000; // [0.99609375]
	storage[112] =  11'b11111111000; // [0.99609375]
	storage[113] =  11'b11111111000; // [0.99609375]
	storage[114] =  11'b11111111000; // [0.99609375]
	storage[115] =  11'b11111111000; // [0.99609375]
	storage[116] =  11'b11111111000; // [0.99609375]
	storage[117] =  11'b11111111000; // [0.99609375]
	storage[118] =  11'b11111111000; // [0.99609375]
	storage[119] =  11'b11111111000; // [0.99609375]
	storage[120] =  11'b11111111000; // [0.99609375]
	storage[121] =  11'b11111111000; // [0.99609375]
	storage[122] =  11'b10101101000; // [0.67578125]
	storage[123] =  11'b00000000000; // [0.]
	storage[124] =  11'b01000001000; // [0.25390625]
	storage[125] =  11'b11100000000; // [0.875]
	storage[126] =  11'b00000000000; // [0.]
	storage[127] =  11'b00010011000; // [0.07421875]
	storage[128] =  11'b11111111000; // [0.99609375]
	storage[129] =  11'b11111111000; // [0.99609375]
	storage[130] =  11'b11111111000; // [0.99609375]
	storage[131] =  11'b11111111000; // [0.99609375]
	storage[132] =  11'b11111111000; // [0.99609375]
	storage[133] =  11'b11111111000; // [0.99609375]
	storage[134] =  11'b11111111000; // [0.99609375]
	storage[135] =  11'b11111111000; // [0.99609375]
	storage[136] =  11'b11111111000; // [0.99609375]
	storage[137] =  11'b11111111000; // [0.99609375]
	storage[138] =  11'b11111111000; // [0.99609375]
	storage[139] =  11'b11111111000; // [0.99609375]
	storage[140] =  11'b11111111000; // [0.99609375]
	storage[141] =  11'b11111111000; // [0.99609375]
	storage[142] =  11'b11111111000; // [0.99609375]
	storage[143] =  11'b11111111000; // [0.99609375]
	storage[144] =  11'b11111111000; // [0.99609375]
	storage[145] =  11'b11111111000; // [0.99609375]
	storage[146] =  11'b11111111000; // [0.99609375]
	storage[147] =  11'b11111111000; // [0.99609375]
	storage[148] =  11'b11111111000; // [0.99609375]
	storage[149] =  11'b11111111000; // [0.99609375]
	storage[150] =  11'b01100010000; // [0.3828125]
	storage[151] =  11'b00000000000; // [0.]
	storage[152] =  11'b01011010000; // [0.3515625]
	storage[153] =  11'b11100010000; // [0.8828125]
	storage[154] =  11'b00000000000; // [0.]
	storage[155] =  11'b00000000000; // [0.]
	storage[156] =  11'b11101011000; // [0.91796875]
	storage[157] =  11'b11111111000; // [0.99609375]
	storage[158] =  11'b11111111000; // [0.99609375]
	storage[159] =  11'b11111111000; // [0.99609375]
	storage[160] =  11'b11111111000; // [0.99609375]
	storage[161] =  11'b11111111000; // [0.99609375]
	storage[162] =  11'b11111111000; // [0.99609375]
	storage[163] =  11'b11111111000; // [0.99609375]
	storage[164] =  11'b11111111000; // [0.99609375]
	storage[165] =  11'b11111111000; // [0.99609375]
	storage[166] =  11'b11111111000; // [0.99609375]
	storage[167] =  11'b11111111000; // [0.99609375]
	storage[168] =  11'b11111111000; // [0.99609375]
	storage[169] =  11'b11111111000; // [0.99609375]
	storage[170] =  11'b11111111000; // [0.99609375]
	storage[171] =  11'b11111111000; // [0.99609375]
	storage[172] =  11'b11111111000; // [0.99609375]
	storage[173] =  11'b11111111000; // [0.99609375]
	storage[174] =  11'b11111111000; // [0.99609375]
	storage[175] =  11'b11111111000; // [0.99609375]
	storage[176] =  11'b11111111000; // [0.99609375]
	storage[177] =  11'b11111100000; // [0.984375]
	storage[178] =  11'b00010101000; // [0.08203125]
	storage[179] =  11'b00000000000; // [0.]
	storage[180] =  11'b10001010000; // [0.5390625]
	storage[181] =  11'b11101011000; // [0.91796875]
	storage[182] =  11'b00000000000; // [0.]
	storage[183] =  11'b00000000000; // [0.]
	storage[184] =  11'b11011001000; // [0.84765625]
	storage[185] =  11'b11111111000; // [0.99609375]
	storage[186] =  11'b11111111000; // [0.99609375]
	storage[187] =  11'b11111111000; // [0.99609375]
	storage[188] =  11'b11111111000; // [0.99609375]
	storage[189] =  11'b11111111000; // [0.99609375]
	storage[190] =  11'b11111111000; // [0.99609375]
	storage[191] =  11'b11111111000; // [0.99609375]
	storage[192] =  11'b11111111000; // [0.99609375]
	storage[193] =  11'b11111111000; // [0.99609375]
	storage[194] =  11'b11111111000; // [0.99609375]
	storage[195] =  11'b11111111000; // [0.99609375]
	storage[196] =  11'b11111111000; // [0.99609375]
	storage[197] =  11'b11111111000; // [0.99609375]
	storage[198] =  11'b11111111000; // [0.99609375]
	storage[199] =  11'b11111111000; // [0.99609375]
	storage[200] =  11'b11111111000; // [0.99609375]
	storage[201] =  11'b11111111000; // [0.99609375]
	storage[202] =  11'b11111111000; // [0.99609375]
	storage[203] =  11'b11111111000; // [0.99609375]
	storage[204] =  11'b11111111000; // [0.99609375]
	storage[205] =  11'b10111000000; // [0.71875]
	storage[206] =  11'b00000000000; // [0.]
	storage[207] =  11'b00000000000; // [0.]
	storage[208] =  11'b11010000000; // [0.8125]
	storage[209] =  11'b11101110000; // [0.9296875]
	storage[210] =  11'b00000000000; // [0.]
	storage[211] =  11'b00000000000; // [0.]
	storage[212] =  11'b11000111000; // [0.77734375]
	storage[213] =  11'b11111111000; // [0.99609375]
	storage[214] =  11'b11111111000; // [0.99609375]
	storage[215] =  11'b11111111000; // [0.99609375]
	storage[216] =  11'b11111111000; // [0.99609375]
	storage[217] =  11'b11111111000; // [0.99609375]
	storage[218] =  11'b11111111000; // [0.99609375]
	storage[219] =  11'b11111111000; // [0.99609375]
	storage[220] =  11'b11111111000; // [0.99609375]
	storage[221] =  11'b11111111000; // [0.99609375]
	storage[222] =  11'b11111111000; // [0.99609375]
	storage[223] =  11'b11111111000; // [0.99609375]
	storage[224] =  11'b11111111000; // [0.99609375]
	storage[225] =  11'b11111111000; // [0.99609375]
	storage[226] =  11'b11111111000; // [0.99609375]
	storage[227] =  11'b11111111000; // [0.99609375]
	storage[228] =  11'b11111111000; // [0.99609375]
	storage[229] =  11'b11111111000; // [0.99609375]
	storage[230] =  11'b11111111000; // [0.99609375]
	storage[231] =  11'b11111111000; // [0.99609375]
	storage[232] =  11'b11111111000; // [0.99609375]
	storage[233] =  11'b01010111000; // [0.33984375]
	storage[234] =  11'b00000000000; // [0.]
	storage[235] =  11'b00100100000; // [0.140625]
	storage[236] =  11'b11111111000; // [0.99609375]
	storage[237] =  11'b11101011000; // [0.91796875]
	storage[238] =  11'b00000000000; // [0.]
	storage[239] =  11'b00000000000; // [0.]
	storage[240] =  11'b11000000000; // [0.75]
	storage[241] =  11'b11111111000; // [0.99609375]
	storage[242] =  11'b11111111000; // [0.99609375]
	storage[243] =  11'b11111111000; // [0.99609375]
	storage[244] =  11'b11111111000; // [0.99609375]
	storage[245] =  11'b11111111000; // [0.99609375]
	storage[246] =  11'b11111111000; // [0.99609375]
	storage[247] =  11'b11111111000; // [0.99609375]
	storage[248] =  11'b11111111000; // [0.99609375]
	storage[249] =  11'b11111111000; // [0.99609375]
	storage[250] =  11'b11111111000; // [0.99609375]
	storage[251] =  11'b11111111000; // [0.99609375]
	storage[252] =  11'b11111111000; // [0.99609375]
	storage[253] =  11'b11111111000; // [0.99609375]
	storage[254] =  11'b11111111000; // [0.99609375]
	storage[255] =  11'b11111111000; // [0.99609375]
	storage[256] =  11'b11111111000; // [0.99609375]
	storage[257] =  11'b11111111000; // [0.99609375]
	storage[258] =  11'b11111111000; // [0.99609375]
	storage[259] =  11'b11111111000; // [0.99609375]
	storage[260] =  11'b11110011000; // [0.94921875]
	storage[261] =  11'b00001001000; // [0.03515625]
	storage[262] =  11'b00000000000; // [0.]
	storage[263] =  11'b01111010000; // [0.4765625]
	storage[264] =  11'b11111111000; // [0.99609375]
	storage[265] =  11'b11100101000; // [0.89453125]
	storage[266] =  11'b00000000000; // [0.]
	storage[267] =  11'b00000000000; // [0.]
	storage[268] =  11'b10111111000; // [0.74609375]
	storage[269] =  11'b11111111000; // [0.99609375]
	storage[270] =  11'b11111111000; // [0.99609375]
	storage[271] =  11'b11111111000; // [0.99609375]
	storage[272] =  11'b11111111000; // [0.99609375]
	storage[273] =  11'b11111111000; // [0.99609375]
	storage[274] =  11'b11111111000; // [0.99609375]
	storage[275] =  11'b11111111000; // [0.99609375]
	storage[276] =  11'b11111111000; // [0.99609375]
	storage[277] =  11'b11111111000; // [0.99609375]
	storage[278] =  11'b11111111000; // [0.99609375]
	storage[279] =  11'b11111111000; // [0.99609375]
	storage[280] =  11'b11111111000; // [0.99609375]
	storage[281] =  11'b11111111000; // [0.99609375]
	storage[282] =  11'b11111111000; // [0.99609375]
	storage[283] =  11'b11111111000; // [0.99609375]
	storage[284] =  11'b11111111000; // [0.99609375]
	storage[285] =  11'b11111111000; // [0.99609375]
	storage[286] =  11'b11111111000; // [0.99609375]
	storage[287] =  11'b11111111000; // [0.99609375]
	storage[288] =  11'b10101000000; // [0.65625]
	storage[289] =  11'b00000000000; // [0.]
	storage[290] =  11'b00000000000; // [0.]
	storage[291] =  11'b11010110000; // [0.8359375]
	storage[292] =  11'b11111111000; // [0.99609375]
	storage[293] =  11'b11100000000; // [0.875]
	storage[294] =  11'b00000000000; // [0.]
	storage[295] =  11'b00000000000; // [0.]
	storage[296] =  11'b10111101000; // [0.73828125]
	storage[297] =  11'b11111111000; // [0.99609375]
	storage[298] =  11'b11111111000; // [0.99609375]
	storage[299] =  11'b11111111000; // [0.99609375]
	storage[300] =  11'b11111111000; // [0.99609375]
	storage[301] =  11'b11111111000; // [0.99609375]
	storage[302] =  11'b11111111000; // [0.99609375]
	storage[303] =  11'b11111111000; // [0.99609375]
	storage[304] =  11'b11111111000; // [0.99609375]
	storage[305] =  11'b11111111000; // [0.99609375]
	storage[306] =  11'b11111111000; // [0.99609375]
	storage[307] =  11'b11111111000; // [0.99609375]
	storage[308] =  11'b11111111000; // [0.99609375]
	storage[309] =  11'b11111111000; // [0.99609375]
	storage[310] =  11'b11111111000; // [0.99609375]
	storage[311] =  11'b11111111000; // [0.99609375]
	storage[312] =  11'b11111111000; // [0.99609375]
	storage[313] =  11'b11111111000; // [0.99609375]
	storage[314] =  11'b11111111000; // [0.99609375]
	storage[315] =  11'b11111111000; // [0.99609375]
	storage[316] =  11'b01010111000; // [0.33984375]
	storage[317] =  11'b00000000000; // [0.]
	storage[318] =  11'b00110001000; // [0.19140625]
	storage[319] =  11'b11111111000; // [0.99609375]
	storage[320] =  11'b11111111000; // [0.99609375]
	storage[321] =  11'b11010011000; // [0.82421875]
	storage[322] =  11'b00000000000; // [0.]
	storage[323] =  11'b00000000000; // [0.]
	storage[324] =  11'b11000000000; // [0.75]
	storage[325] =  11'b11111111000; // [0.99609375]
	storage[326] =  11'b11111111000; // [0.99609375]
	storage[327] =  11'b11111111000; // [0.99609375]
	storage[328] =  11'b11111111000; // [0.99609375]
	storage[329] =  11'b11111111000; // [0.99609375]
	storage[330] =  11'b11111111000; // [0.99609375]
	storage[331] =  11'b11111111000; // [0.99609375]
	storage[332] =  11'b11111111000; // [0.99609375]
	storage[333] =  11'b11111111000; // [0.99609375]
	storage[334] =  11'b11111111000; // [0.99609375]
	storage[335] =  11'b11111111000; // [0.99609375]
	storage[336] =  11'b11111111000; // [0.99609375]
	storage[337] =  11'b11111111000; // [0.99609375]
	storage[338] =  11'b11111111000; // [0.99609375]
	storage[339] =  11'b11111111000; // [0.99609375]
	storage[340] =  11'b11111111000; // [0.99609375]
	storage[341] =  11'b11111111000; // [0.99609375]
	storage[342] =  11'b11111111000; // [0.99609375]
	storage[343] =  11'b11111110000; // [0.9921875]
	storage[344] =  11'b00010001000; // [0.06640625]
	storage[345] =  11'b00000000000; // [0.]
	storage[346] =  11'b10000101000; // [0.51953125]
	storage[347] =  11'b11111111000; // [0.99609375]
	storage[348] =  11'b11111111000; // [0.99609375]
	storage[349] =  11'b11000000000; // [0.75]
	storage[350] =  11'b00000000000; // [0.]
	storage[351] =  11'b00000000000; // [0.]
	storage[352] =  11'b11000111000; // [0.77734375]
	storage[353] =  11'b11111111000; // [0.99609375]
	storage[354] =  11'b11111111000; // [0.99609375]
	storage[355] =  11'b11111111000; // [0.99609375]
	storage[356] =  11'b11111111000; // [0.99609375]
	storage[357] =  11'b11111111000; // [0.99609375]
	storage[358] =  11'b11111111000; // [0.99609375]
	storage[359] =  11'b11111111000; // [0.99609375]
	storage[360] =  11'b11111111000; // [0.99609375]
	storage[361] =  11'b11111111000; // [0.99609375]
	storage[362] =  11'b11111111000; // [0.99609375]
	storage[363] =  11'b11111111000; // [0.99609375]
	storage[364] =  11'b11111111000; // [0.99609375]
	storage[365] =  11'b11111111000; // [0.99609375]
	storage[366] =  11'b11111111000; // [0.99609375]
	storage[367] =  11'b11111111000; // [0.99609375]
	storage[368] =  11'b11111111000; // [0.99609375]
	storage[369] =  11'b11111111000; // [0.99609375]
	storage[370] =  11'b11111111000; // [0.99609375]
	storage[371] =  11'b11100011000; // [0.88671875]
	storage[372] =  11'b00000000000; // [0.]
	storage[373] =  11'b00000000000; // [0.]
	storage[374] =  11'b10011000000; // [0.59375]
	storage[375] =  11'b11001111000; // [0.80859375]
	storage[376] =  11'b11001101000; // [0.80078125]
	storage[377] =  11'b01111111000; // [0.49609375]
	storage[378] =  11'b00000000000; // [0.]
	storage[379] =  11'b00000000000; // [0.]
	storage[380] =  11'b01000110000; // [0.2734375]
	storage[381] =  11'b00101110000; // [0.1796875]
	storage[382] =  11'b00010101000; // [0.08203125]
	storage[383] =  11'b00000000000; // [0.]
	storage[384] =  11'b00000000000; // [0.]
	storage[385] =  11'b00010010000; // [0.0703125]
	storage[386] =  11'b10000101000; // [0.51953125]
	storage[387] =  11'b11111111000; // [0.99609375]
	storage[388] =  11'b11111111000; // [0.99609375]
	storage[389] =  11'b11111111000; // [0.99609375]
	storage[390] =  11'b11111111000; // [0.99609375]
	storage[391] =  11'b11111111000; // [0.99609375]
	storage[392] =  11'b11111111000; // [0.99609375]
	storage[393] =  11'b11111111000; // [0.99609375]
	storage[394] =  11'b11111111000; // [0.99609375]
	storage[395] =  11'b11111111000; // [0.99609375]
	storage[396] =  11'b11111111000; // [0.99609375]
	storage[397] =  11'b11111111000; // [0.99609375]
	storage[398] =  11'b11111111000; // [0.99609375]
	storage[399] =  11'b11101011000; // [0.91796875]
	storage[400] =  11'b00000100000; // [0.015625]
	storage[401] =  11'b00000000000; // [0.]
	storage[402] =  11'b00000000000; // [0.]
	storage[403] =  11'b00000000000; // [0.]
	storage[404] =  11'b00000000000; // [0.]
	storage[405] =  11'b00000000000; // [0.]
	storage[406] =  11'b00000000000; // [0.]
	storage[407] =  11'b00000000000; // [0.]
	storage[408] =  11'b00000000000; // [0.]
	storage[409] =  11'b00000000000; // [0.]
	storage[410] =  11'b00000000000; // [0.]
	storage[411] =  11'b00000000000; // [0.]
	storage[412] =  11'b00000000000; // [0.]
	storage[413] =  11'b00000000000; // [0.]
	storage[414] =  11'b00101000000; // [0.15625]
	storage[415] =  11'b11111111000; // [0.99609375]
	storage[416] =  11'b11111111000; // [0.99609375]
	storage[417] =  11'b11111111000; // [0.99609375]
	storage[418] =  11'b11111111000; // [0.99609375]
	storage[419] =  11'b11111111000; // [0.99609375]
	storage[420] =  11'b11111111000; // [0.99609375]
	storage[421] =  11'b11111111000; // [0.99609375]
	storage[422] =  11'b11111111000; // [0.99609375]
	storage[423] =  11'b11111111000; // [0.99609375]
	storage[424] =  11'b11111111000; // [0.99609375]
	storage[425] =  11'b11111111000; // [0.99609375]
	storage[426] =  11'b11111111000; // [0.99609375]
	storage[427] =  11'b11111111000; // [0.99609375]
	storage[428] =  11'b10100000000; // [0.625]
	storage[429] =  11'b00001000000; // [0.03125]
	storage[430] =  11'b00000000000; // [0.]
	storage[431] =  11'b00000000000; // [0.]
	storage[432] =  11'b00000000000; // [0.]
	storage[433] =  11'b00000000000; // [0.]
	storage[434] =  11'b00000000000; // [0.]
	storage[435] =  11'b00000000000; // [0.]
	storage[436] =  11'b00000000000; // [0.]
	storage[437] =  11'b00000000000; // [0.]
	storage[438] =  11'b00000001000; // [0.00390625]
	storage[439] =  11'b00011111000; // [0.12109375]
	storage[440] =  11'b01001110000; // [0.3046875]
	storage[441] =  11'b01110011000; // [0.44921875]
	storage[442] =  11'b11001100000; // [0.796875]
	storage[443] =  11'b11111111000; // [0.99609375]
	storage[444] =  11'b11111111000; // [0.99609375]
	storage[445] =  11'b11111111000; // [0.99609375]
	storage[446] =  11'b11111111000; // [0.99609375]
	storage[447] =  11'b11111111000; // [0.99609375]
	storage[448] =  11'b11111111000; // [0.99609375]
	storage[449] =  11'b11111111000; // [0.99609375]
	storage[450] =  11'b11111111000; // [0.99609375]
	storage[451] =  11'b11111111000; // [0.99609375]
	storage[452] =  11'b11111111000; // [0.99609375]
	storage[453] =  11'b11111111000; // [0.99609375]
	storage[454] =  11'b11111111000; // [0.99609375]
	storage[455] =  11'b11111111000; // [0.99609375]
	storage[456] =  11'b11111111000; // [0.99609375]
	storage[457] =  11'b11101110000; // [0.9296875]
	storage[458] =  11'b10100110000; // [0.6484375]
	storage[459] =  11'b01101111000; // [0.43359375]
	storage[460] =  11'b01010110000; // [0.3359375]
	storage[461] =  11'b00010010000; // [0.0703125]
	storage[462] =  11'b00000000000; // [0.]
	storage[463] =  11'b00011111000; // [0.12109375]
	storage[464] =  11'b10100011000; // [0.63671875]
	storage[465] =  11'b11001101000; // [0.80078125]
	storage[466] =  11'b11110010000; // [0.9453125]
	storage[467] =  11'b11111111000; // [0.99609375]
	storage[468] =  11'b11111111000; // [0.99609375]
	storage[469] =  11'b11111111000; // [0.99609375]
	storage[470] =  11'b11111111000; // [0.99609375]
	storage[471] =  11'b11111111000; // [0.99609375]
	storage[472] =  11'b11111111000; // [0.99609375]
	storage[473] =  11'b11111111000; // [0.99609375]
	storage[474] =  11'b11111111000; // [0.99609375]
	storage[475] =  11'b11111111000; // [0.99609375]
	storage[476] =  11'b11111111000; // [0.99609375]
	storage[477] =  11'b11111111000; // [0.99609375]
	storage[478] =  11'b11111111000; // [0.99609375]
	storage[479] =  11'b11111111000; // [0.99609375]
	storage[480] =  11'b11111111000; // [0.99609375]
	storage[481] =  11'b11111111000; // [0.99609375]
	storage[482] =  11'b11111111000; // [0.99609375]
	storage[483] =  11'b11111111000; // [0.99609375]
	storage[484] =  11'b11111111000; // [0.99609375]
	storage[485] =  11'b11111111000; // [0.99609375]
	storage[486] =  11'b11111111000; // [0.99609375]
	storage[487] =  11'b11111111000; // [0.99609375]
	storage[488] =  11'b11111111000; // [0.99609375]
	storage[489] =  11'b00111000000; // [0.21875]
	storage[490] =  11'b00000000000; // [0.]
	storage[491] =  11'b01000110000; // [0.2734375]
	storage[492] =  11'b11111111000; // [0.99609375]
	storage[493] =  11'b11111111000; // [0.99609375]
	storage[494] =  11'b11111111000; // [0.99609375]
	storage[495] =  11'b11111111000; // [0.99609375]
	storage[496] =  11'b11111111000; // [0.99609375]
	storage[497] =  11'b11111111000; // [0.99609375]
	storage[498] =  11'b11111111000; // [0.99609375]
	storage[499] =  11'b11111111000; // [0.99609375]
	storage[500] =  11'b11111111000; // [0.99609375]
	storage[501] =  11'b11111111000; // [0.99609375]
	storage[502] =  11'b11111111000; // [0.99609375]
	storage[503] =  11'b11111111000; // [0.99609375]
	storage[504] =  11'b11111111000; // [0.99609375]
	storage[505] =  11'b11111111000; // [0.99609375]
	storage[506] =  11'b11111111000; // [0.99609375]
	storage[507] =  11'b11111111000; // [0.99609375]
	storage[508] =  11'b11111111000; // [0.99609375]
	storage[509] =  11'b11111111000; // [0.99609375]
	storage[510] =  11'b11111111000; // [0.99609375]
	storage[511] =  11'b11111111000; // [0.99609375]
	storage[512] =  11'b11111111000; // [0.99609375]
	storage[513] =  11'b11111111000; // [0.99609375]
	storage[514] =  11'b11111111000; // [0.99609375]
	storage[515] =  11'b11111111000; // [0.99609375]
	storage[516] =  11'b11111111000; // [0.99609375]
	storage[517] =  11'b00100100000; // [0.140625]
	storage[518] =  11'b00000000000; // [0.]
	storage[519] =  11'b01100001000; // [0.37890625]
	storage[520] =  11'b11111111000; // [0.99609375]
	storage[521] =  11'b11111111000; // [0.99609375]
	storage[522] =  11'b11111111000; // [0.99609375]
	storage[523] =  11'b11111111000; // [0.99609375]
	storage[524] =  11'b11111111000; // [0.99609375]
	storage[525] =  11'b11111111000; // [0.99609375]
	storage[526] =  11'b11111111000; // [0.99609375]
	storage[527] =  11'b11111111000; // [0.99609375]
	storage[528] =  11'b11111111000; // [0.99609375]
	storage[529] =  11'b11111111000; // [0.99609375]
	storage[530] =  11'b11111111000; // [0.99609375]
	storage[531] =  11'b11111111000; // [0.99609375]
	storage[532] =  11'b11111111000; // [0.99609375]
	storage[533] =  11'b11111111000; // [0.99609375]
	storage[534] =  11'b11111111000; // [0.99609375]
	storage[535] =  11'b11111111000; // [0.99609375]
	storage[536] =  11'b11111111000; // [0.99609375]
	storage[537] =  11'b11111111000; // [0.99609375]
	storage[538] =  11'b11111111000; // [0.99609375]
	storage[539] =  11'b11111111000; // [0.99609375]
	storage[540] =  11'b11111111000; // [0.99609375]
	storage[541] =  11'b11111111000; // [0.99609375]
	storage[542] =  11'b11111111000; // [0.99609375]
	storage[543] =  11'b11111111000; // [0.99609375]
	storage[544] =  11'b11111111000; // [0.99609375]
	storage[545] =  11'b00010110000; // [0.0859375]
	storage[546] =  11'b00000000000; // [0.]
	storage[547] =  11'b01110101000; // [0.45703125]
	storage[548] =  11'b11111111000; // [0.99609375]
	storage[549] =  11'b11111111000; // [0.99609375]
	storage[550] =  11'b11111111000; // [0.99609375]
	storage[551] =  11'b11111111000; // [0.99609375]
	storage[552] =  11'b11111111000; // [0.99609375]
	storage[553] =  11'b11111111000; // [0.99609375]
	storage[554] =  11'b11111111000; // [0.99609375]
	storage[555] =  11'b11111111000; // [0.99609375]
	storage[556] =  11'b11111111000; // [0.99609375]
	storage[557] =  11'b11111111000; // [0.99609375]
	storage[558] =  11'b11111111000; // [0.99609375]
	storage[559] =  11'b11111111000; // [0.99609375]
	storage[560] =  11'b11111111000; // [0.99609375]
	storage[561] =  11'b11111111000; // [0.99609375]
	storage[562] =  11'b11111111000; // [0.99609375]
	storage[563] =  11'b11111111000; // [0.99609375]
	storage[564] =  11'b11111111000; // [0.99609375]
	storage[565] =  11'b11111111000; // [0.99609375]
	storage[566] =  11'b11111111000; // [0.99609375]
	storage[567] =  11'b11111111000; // [0.99609375]
	storage[568] =  11'b11111111000; // [0.99609375]
	storage[569] =  11'b11111111000; // [0.99609375]
	storage[570] =  11'b11111111000; // [0.99609375]
	storage[571] =  11'b11111111000; // [0.99609375]
	storage[572] =  11'b11111111000; // [0.99609375]
	storage[573] =  11'b00000011000; // [0.01171875]
	storage[574] =  11'b00000000000; // [0.]
	storage[575] =  11'b10000011000; // [0.51171875]
	storage[576] =  11'b11111111000; // [0.99609375]
	storage[577] =  11'b11111111000; // [0.99609375]
	storage[578] =  11'b11111111000; // [0.99609375]
	storage[579] =  11'b11111111000; // [0.99609375]
	storage[580] =  11'b11111111000; // [0.99609375]
	storage[581] =  11'b11111111000; // [0.99609375]
	storage[582] =  11'b11111111000; // [0.99609375]
	storage[583] =  11'b11111111000; // [0.99609375]
	storage[584] =  11'b11111111000; // [0.99609375]
	storage[585] =  11'b11111111000; // [0.99609375]
	storage[586] =  11'b11111111000; // [0.99609375]
	storage[587] =  11'b11111111000; // [0.99609375]
	storage[588] =  11'b11111111000; // [0.99609375]
	storage[589] =  11'b11111111000; // [0.99609375]
	storage[590] =  11'b11111111000; // [0.99609375]
	storage[591] =  11'b11111111000; // [0.99609375]
	storage[592] =  11'b11111111000; // [0.99609375]
	storage[593] =  11'b11111111000; // [0.99609375]
	storage[594] =  11'b11111111000; // [0.99609375]
	storage[595] =  11'b11111111000; // [0.99609375]
	storage[596] =  11'b11111111000; // [0.99609375]
	storage[597] =  11'b11111111000; // [0.99609375]
	storage[598] =  11'b11111111000; // [0.99609375]
	storage[599] =  11'b11111111000; // [0.99609375]
	storage[600] =  11'b11111111000; // [0.99609375]
	storage[601] =  11'b00000001000; // [0.00390625]
	storage[602] =  11'b00000000000; // [0.]
	storage[603] =  11'b10011100000; // [0.609375]
	storage[604] =  11'b11111111000; // [0.99609375]
	storage[605] =  11'b11111111000; // [0.99609375]
	storage[606] =  11'b11111111000; // [0.99609375]
	storage[607] =  11'b11111111000; // [0.99609375]
	storage[608] =  11'b11111111000; // [0.99609375]
	storage[609] =  11'b11111111000; // [0.99609375]
	storage[610] =  11'b11111111000; // [0.99609375]
	storage[611] =  11'b11111111000; // [0.99609375]
	storage[612] =  11'b11111111000; // [0.99609375]
	storage[613] =  11'b11111111000; // [0.99609375]
	storage[614] =  11'b11111111000; // [0.99609375]
	storage[615] =  11'b11111111000; // [0.99609375]
	storage[616] =  11'b11111111000; // [0.99609375]
	storage[617] =  11'b11111111000; // [0.99609375]
	storage[618] =  11'b11111111000; // [0.99609375]
	storage[619] =  11'b11111111000; // [0.99609375]
	storage[620] =  11'b11111111000; // [0.99609375]
	storage[621] =  11'b11111111000; // [0.99609375]
	storage[622] =  11'b11111111000; // [0.99609375]
	storage[623] =  11'b11111111000; // [0.99609375]
	storage[624] =  11'b11111111000; // [0.99609375]
	storage[625] =  11'b11111111000; // [0.99609375]
	storage[626] =  11'b11111111000; // [0.99609375]
	storage[627] =  11'b11111111000; // [0.99609375]
	storage[628] =  11'b11111100000; // [0.984375]
	storage[629] =  11'b00000000000; // [0.]
	storage[630] =  11'b00000000000; // [0.]
	storage[631] =  11'b10101111000; // [0.68359375]
	storage[632] =  11'b11111111000; // [0.99609375]
	storage[633] =  11'b11111111000; // [0.99609375]
	storage[634] =  11'b11111111000; // [0.99609375]
	storage[635] =  11'b11111111000; // [0.99609375]
	storage[636] =  11'b11111111000; // [0.99609375]
	storage[637] =  11'b11111111000; // [0.99609375]
	storage[638] =  11'b11111111000; // [0.99609375]
	storage[639] =  11'b11111111000; // [0.99609375]
	storage[640] =  11'b11111111000; // [0.99609375]
	storage[641] =  11'b11111111000; // [0.99609375]
	storage[642] =  11'b11111111000; // [0.99609375]
	storage[643] =  11'b11111111000; // [0.99609375]
	storage[644] =  11'b11111111000; // [0.99609375]
	storage[645] =  11'b11111111000; // [0.99609375]
	storage[646] =  11'b11111111000; // [0.99609375]
	storage[647] =  11'b11111111000; // [0.99609375]
	storage[648] =  11'b11111111000; // [0.99609375]
	storage[649] =  11'b11111111000; // [0.99609375]
	storage[650] =  11'b11111111000; // [0.99609375]
	storage[651] =  11'b11111111000; // [0.99609375]
	storage[652] =  11'b11111111000; // [0.99609375]
	storage[653] =  11'b11111111000; // [0.99609375]
	storage[654] =  11'b11111111000; // [0.99609375]
	storage[655] =  11'b11111111000; // [0.99609375]
	storage[656] =  11'b11110001000; // [0.94140625]
	storage[657] =  11'b00000000000; // [0.]
	storage[658] =  11'b00000000000; // [0.]
	storage[659] =  11'b11000010000; // [0.7578125]
	storage[660] =  11'b11111111000; // [0.99609375]
	storage[661] =  11'b11111111000; // [0.99609375]
	storage[662] =  11'b11111111000; // [0.99609375]
	storage[663] =  11'b11111111000; // [0.99609375]
	storage[664] =  11'b11111111000; // [0.99609375]
	storage[665] =  11'b11111111000; // [0.99609375]
	storage[666] =  11'b11111111000; // [0.99609375]
	storage[667] =  11'b11111111000; // [0.99609375]
	storage[668] =  11'b11111111000; // [0.99609375]
	storage[669] =  11'b11111111000; // [0.99609375]
	storage[670] =  11'b11111111000; // [0.99609375]
	storage[671] =  11'b11111111000; // [0.99609375]
	storage[672] =  11'b11111111000; // [0.99609375]
	storage[673] =  11'b11111111000; // [0.99609375]
	storage[674] =  11'b11111111000; // [0.99609375]
	storage[675] =  11'b11111111000; // [0.99609375]
	storage[676] =  11'b11111111000; // [0.99609375]
	storage[677] =  11'b11111111000; // [0.99609375]
	storage[678] =  11'b11111111000; // [0.99609375]
	storage[679] =  11'b11111111000; // [0.99609375]
	storage[680] =  11'b11111111000; // [0.99609375]
	storage[681] =  11'b11111111000; // [0.99609375]
	storage[682] =  11'b11111111000; // [0.99609375]
	storage[683] =  11'b11111111000; // [0.99609375]
	storage[684] =  11'b11110000000; // [0.9375]
	storage[685] =  11'b00000111000; // [0.02734375]
	storage[686] =  11'b00000010000; // [0.0078125]
	storage[687] =  11'b11011110000; // [0.8671875]
	storage[688] =  11'b11111111000; // [0.99609375]
	storage[689] =  11'b11111111000; // [0.99609375]
	storage[690] =  11'b11111111000; // [0.99609375]
	storage[691] =  11'b11111111000; // [0.99609375]
	storage[692] =  11'b11111111000; // [0.99609375]
	storage[693] =  11'b11111111000; // [0.99609375]
	storage[694] =  11'b11111111000; // [0.99609375]
	storage[695] =  11'b11111111000; // [0.99609375]
	storage[696] =  11'b11111111000; // [0.99609375]
	storage[697] =  11'b11111111000; // [0.99609375]
	storage[698] =  11'b11111111000; // [0.99609375]
	storage[699] =  11'b11111111000; // [0.99609375]
	storage[700] =  11'b11111111000; // [0.99609375]
	storage[701] =  11'b11111111000; // [0.99609375]
	storage[702] =  11'b11111111000; // [0.99609375]
	storage[703] =  11'b11111111000; // [0.99609375]
	storage[704] =  11'b11111111000; // [0.99609375]
	storage[705] =  11'b11111111000; // [0.99609375]
	storage[706] =  11'b11111111000; // [0.99609375]
	storage[707] =  11'b11111111000; // [0.99609375]
	storage[708] =  11'b11111111000; // [0.99609375]
	storage[709] =  11'b11111111000; // [0.99609375]
	storage[710] =  11'b11111111000; // [0.99609375]
	storage[711] =  11'b11111111000; // [0.99609375]
	storage[712] =  11'b11111111000; // [0.99609375]
	storage[713] =  11'b11001111000; // [0.80859375]
	storage[714] =  11'b11000111000; // [0.77734375]
	storage[715] =  11'b11111111000; // [0.99609375]
	storage[716] =  11'b11111111000; // [0.99609375]
	storage[717] =  11'b11111111000; // [0.99609375]
	storage[718] =  11'b11111111000; // [0.99609375]
	storage[719] =  11'b11111111000; // [0.99609375]
	storage[720] =  11'b11111111000; // [0.99609375]
	storage[721] =  11'b11111111000; // [0.99609375]
	storage[722] =  11'b11111111000; // [0.99609375]
	storage[723] =  11'b11111111000; // [0.99609375]
	storage[724] =  11'b11111111000; // [0.99609375]
	storage[725] =  11'b11111111000; // [0.99609375]
	storage[726] =  11'b11111111000; // [0.99609375]
	storage[727] =  11'b11111111000; // [0.99609375]
	storage[728] =  11'b11111111000; // [0.99609375]
	storage[729] =  11'b11111111000; // [0.99609375]
	storage[730] =  11'b11111111000; // [0.99609375]
	storage[731] =  11'b11111111000; // [0.99609375]
	storage[732] =  11'b11111111000; // [0.99609375]
	storage[733] =  11'b11111111000; // [0.99609375]
	storage[734] =  11'b11111111000; // [0.99609375]
	storage[735] =  11'b11111111000; // [0.99609375]
	storage[736] =  11'b11111111000; // [0.99609375]
	storage[737] =  11'b11111111000; // [0.99609375]
	storage[738] =  11'b11111111000; // [0.99609375]
	storage[739] =  11'b11111111000; // [0.99609375]
	storage[740] =  11'b11111111000; // [0.99609375]
	storage[741] =  11'b11111111000; // [0.99609375]
	storage[742] =  11'b11111111000; // [0.99609375]
	storage[743] =  11'b11111111000; // [0.99609375]
	storage[744] =  11'b11111111000; // [0.99609375]
	storage[745] =  11'b11111111000; // [0.99609375]
	storage[746] =  11'b11111111000; // [0.99609375]
	storage[747] =  11'b11111111000; // [0.99609375]
	storage[748] =  11'b11111111000; // [0.99609375]
	storage[749] =  11'b11111111000; // [0.99609375]
	storage[750] =  11'b11111111000; // [0.99609375]
	storage[751] =  11'b11111111000; // [0.99609375]
	storage[752] =  11'b11111111000; // [0.99609375]
	storage[753] =  11'b11111111000; // [0.99609375]
	storage[754] =  11'b11111111000; // [0.99609375]
	storage[755] =  11'b11111111000; // [0.99609375]
	storage[756] =  11'b11111111000; // [0.99609375]
	storage[757] =  11'b11111111000; // [0.99609375]
	storage[758] =  11'b11111111000; // [0.99609375]
	storage[759] =  11'b11111111000; // [0.99609375]
	storage[760] =  11'b11111111000; // [0.99609375]
	storage[761] =  11'b11111111000; // [0.99609375]
	storage[762] =  11'b11111111000; // [0.99609375]
	storage[763] =  11'b11111111000; // [0.99609375]
	storage[764] =  11'b11111111000; // [0.99609375]
	storage[765] =  11'b11111111000; // [0.99609375]
	storage[766] =  11'b11111111000; // [0.99609375]
	storage[767] =  11'b11111111000; // [0.99609375]
	storage[768] =  11'b11111111000; // [0.99609375]
	storage[769] =  11'b11111111000; // [0.99609375]
	storage[770] =  11'b11111111000; // [0.99609375]
	storage[771] =  11'b11111111000; // [0.99609375]
	storage[772] =  11'b11111111000; // [0.99609375]
	storage[773] =  11'b11111111000; // [0.99609375]
	storage[774] =  11'b11111111000; // [0.99609375]
	storage[775] =  11'b11111111000; // [0.99609375]
	storage[776] =  11'b11111111000; // [0.99609375]
	storage[777] =  11'b11111111000; // [0.99609375]
	storage[778] =  11'b11111111000; // [0.99609375]
	storage[779] =  11'b11111111000; // [0.99609375]
	storage[780] =  11'b11111111000; // [0.99609375]
	storage[781] =  11'b11111111000; // [0.99609375]
	storage[782] =  11'b11111111000; // [0.99609375]
	storage[783] =  11'b11111111000; // [0.99609375]
end

endmodule